The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for temperature with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1974 (17) 1975-1983 (17) 1985-1987 (16) 1988-1989 (22) 1990-1991 (27) 1992 (15) 1993-1994 (39) 1995 (35) 1996 (30) 1997 (41) 1998 (56) 1999 (95) 2000 (113) 2001 (133) 2002 (177) 2003 (255) 2004 (314) 2005 (451) 2006 (535) 2007 (633) 2008 (660) 2009 (537) 2010 (452) 2011 (511) 2012 (487) 2013 (584) 2014 (658) 2015 (729) 2016 (733) 2017 (804) 2018 (982) 2019 (1038) 2020 (1101) 2021 (1200) 2022 (1293) 2023 (1339) 2024 (314)
Publication types (Num. hits)
article(8503) book(4) data(25) incollection(33) inproceedings(7804) phdthesis(74)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2113 occurrences of 1161 keywords

Results
Found 16443 publication records. Showing 16443 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
37Karn Opasjumruskit, Thaweesak Thanthipwan, Ohmmarin Sathusen, Pairote Sirinamarattana, Prachanart Gadmanee, Eakkaphob Pootarapan, Naiyavudhi Wongkomet, Apinunt Thanachayanont, Manop Thamsirianunt Self-Powered Wireless Temperature Sensors Exploit RFID Technology. Search on Bibsonomy IEEE Pervasive Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF microchip, RFID, integrated circuit, temperature sensor
37Sanjay V. Kumar, Chris H. Kim, Sachin S. Sapatnekar Mathematically assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nonlinear Programming Problem (NLPP), daptive Body Bias (ABB), temperature variations, delay, process variations, leakage, enumeration
37Yongkang Zhu, David H. Albonesi Synergistic temperature and energy management in GALS processor architectures. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic temperature management (DTM), dynamic voltage scaling (DVS)
37Josep Altet, Antonio Rubio 0001, M. Amine Salhi, Jose Luis Gálvez, Stefan Dilhaire, Ashish Syal, André Ivanov Sensing temperature in CMOS circuits for Thermal Testing. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF analysis failure, built-in self test, Thermal testing, temperature sensors
37Georg F. Mauer, Chanaka Fernando Remote Controlled Mobile Imaging in a High Temperature Tunnel Environment. Search on Bibsonomy RTSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF High-Temperature Robotics, Mobile Robotic Cameras, Remote Control, Infrared Imaging
36Takeo Yasuda On-chip temperature sensor with high tolerance for process and temperature variation. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Houman Homayoun, Avesta Sasan, Aseem Gupta, Alexander V. Veidenbaum, Fadi J. Kurdahi, Nikil D. Dutt Multiple sleep modes leakage control in peripheral circuits of a all major SRAM-based processor units. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multiple sleep mode, peripheral circuits, sram memory, temperature reduction, leakage power
36Raid Zuhair Ayoub, Tajana Simunic Rosing Predict and act: dynamic thermal management for multi-core processors. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF temperature prediction, characterization, thermal management
36Yongji Jiang, Garrett S. Rose A dual-MOSFET equivalent resistor thermal sensor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF integrated circuits, dynamic thermal management, vlsi, temperature sensors
36Emmanuel Boutillon, Christian Roland, Marc Sevaux Probability-Driven Simulated Annealing for Optimizing Digital FIR Filters. Search on Bibsonomy Adaptive and Multilevel Metaheuristics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF temperature regulation, simulated annealing, multiobjective optimization, feedback loop, Filter design, FIR
36Radek Kuchta, Radimir Vrba Analog Digitized Data Logger with Wireless and Wired Communication Interface and RFID Features. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF data logging, RFID, wireless communication, temperature measuring
36Pilok Lim, Taewhan Kim Thermal-aware high-level synthesis based on network flow method. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power consumption, temperature, binding
36Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic thermal clock skew compensation using tunable delay buffers. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature aware design methodology, tunable delay buffers, clock skew, clock tree
36Baltasar Beferull-Lozano, Robert L. Konsbruck, Martin Vetterli Rate-distortion problem for physics based distributed sensing. Search on Bibsonomy IPSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF centralized coding, distributed sampling, local coding, temperature field, sensor networks, prediction, feedback, rate-distortion, heat equation, Green's function, spatio-temporal correlation, distributed coding
36Vladimír Székely, Márta Rencz, Jean-Michel Karam, Marcelo Lubaszewski, Bernard Courtois Thermal Monitoring of Self-Checking Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF self-checking circuits, thermal testing, temperature sensors, thermal sensors
35Jungwook Kim, Seong Tae Jhang, Chu Shik Jhon Dynamic register-renaming scheme for reducing power-density and temperature. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF embedded operating systems for mobile computing, power-density minimization, renaming scheme, register file, thermal management
35Xiaofeng Lin, Tangbo Liu, Deguang Cao, Qingbao Huang Temperature Control in Cement Rotary Kiln with Neural Network-Based Heuristic Dynamic Programming. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cement rotary kiln, Approximate Dynamic Programming (ADP), Heuristic Dynamic Programming (HDP), Model
35Baudouin Denis de Senneville, Karsten O. Noe, Mario Ries, Michael Pedersen, Chrit T. W. Moonen, Thomas Sangild Sørensen An optimised multi-baseline approach for on-line MR-temperature monitoring on commodity graphics hardware. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Ayse Kivilcim Coskun, Tajana Simunic Rosing, Kenny C. Gross Proactive temperature balancing for low cost thermal management in MPSoCs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Guo Zhang, Jiaying Liu 0002, Wenbo Fei Detection of Anomaly Temperature Based on ASTER and ETM+ Thermal Infrared Image. Search on Bibsonomy CSSE (6) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Xie Lingfei, Yang Li Research on Temperature Control and Anti-cracking Simulation for Xiaowan Concrete High Arch Dam. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Janakiraman Viraraghavan, Bishnu Prasad Das, Bharadwaj Amrutur Voltage and Temperature Scalable Standard Cell Leakage Models Based on Stacks for Statistical Leakage Characterization. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Jung Hwan Choi, Aditya Bansal, Mesut Meterelliyoz, Jayathi Murthy, Kaushik Roy 0001 Self-Consistent Approach to Leakage Power and Temperature Estimation to Predict Thermal Runaway in FinFET Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Gregory Maclair, Baudouin Denis de Senneville, Mario Ries, Bruno Quesson, Pascal Desbarats, Jenny Benois-Pineau, Chrit T. W. Moonen PCA-Based Image Registration : Application to On-Line MR Temperature Monitoring of Moving Tissues. Search on Bibsonomy ICIP (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Kostas Siozios, Dimitrios Soudris A Novel Methodology for Temperature-Aware Placement and Routing of FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Dapeng Tan, Peiyu Li, Xiaohong Pan A Kind of Embedded Temperature Controller Based on Self-turning PID for Texturing Machine. Search on Bibsonomy IFSA (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Fen He, Chengwei Ma, Junxiong Zhang, Ying Chen Greenhouse Air Temperature and Humidity Prediction Based on Improved BP Neural Network and Genetic Algorithm. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Pingqiang Zhou, Yuchun Ma, Zhuoyuan Li, Robert P. Dick, Li Shang, Hai Zhou 0001, Xianlong Hong, Qiang Zhou 0001 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Ja Chun Ku, Yehea I. Ismail A Compact and Accurate Temperature-Dependent Model for CMOS Circuit Delay. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Sivasubramaniam Krishnamurthy, Somnath Paul, Swarup Bhunia Adaptation to Temperature-Induced Delay Variations in Logic Circuits Using Low-Overhead Online Delay Calibration. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Xiaofeng Lin, Zhigang Zhang, Derong Liu 0001 Temperature Control in Precalcinator with Dual Heuristic Dynamic Programming. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Phillip H. Jones, Young H. Cho, John W. Lockwood Dynamically Optimizing FPGA Applications by Monitoring Temperature and Workloads. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Shengquan Wang, Riccardo Bettati Reactive Speed Control in Temperature-Constrained Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Zhancheng Wang, Weimin Li, Hang Tong, Yangsheng Xu A Novel On-board Temperature Monitoring Approach in the Reflow Soldering Process. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Aditya Bansal, Mesut Meterelliyoz, Siddharth Singh, Jung Hwan Choi, Jayathi Murthy, Kaushik Roy 0001 Compact thermal models for estimation of temperature-dependent power/performance in FinFET technology. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Hou-Ming Chen, Chih-Liang Huang, Robert Chen-Hao Chang A new temperature-compensated CMOS bandgap reference circuit for portable applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Qikai Chen, Mesut Meterelliyoz, Kaushik Roy 0001 A CMOS Thermal Sensor and Its Applications in Temperature Adaptive Design. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Su Zhang 0001, Wei Yang 0033, Rongqian Yang, Bo Ye, Lei Chen, Weiyin Ma, Yazhu Chen Noninvasive Temperature Monitoring in a Wide Range Based on Textures of Ultrasound Images. Search on Bibsonomy MIAR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Pedro Chaparro, Grigorios Magklis, José González 0002, Antonio González 0001 Distributing the Frontend for Temperature Reduction. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Stefanos Kaxiras, Polychronis Xekalakis, Georgios Keramidas A simple mechanism to adapt leakage-control policies to temperature. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hybrid leakage mechanism, thermal adaptation, drowsy cache, cache decay
35Narrijun Cho, Seong-Jun Song, Jae-Youl Lee, Sunyoung Kim, Shiho Kim, Hoi-Jun Yoo A 8-µW, 0.3-mm2 RF-powered transponder with temperature sensor for wireless environmental monitoring. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Goichi Ono, Masayuki Miyazaki, Kazuki Watanabe 0008, Takayuki Kawahara An LSI system with locked in temperature insensitive state achieved by using body bias technique. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35B. Lasbouygues, Robin Wilson, Philippe Maurine, Nadine Azémard, Daniel Auvergne Temperature Dependence in Low Power CMOS UDSM Process. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Anjali V. Kulkarni, Madhuri G. Karnik Experimental Measurements and Theoretical Estimation of Temperature in ECDM Process. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35O. Barana, G. Manduchi Application of Neural Networks for the Measurement of Electronic Temperature in Nuclear Fusion Experiments. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fitting procedures, Langmuir probes, Plasma diagnostics, Neural networks
35V. I. Passechnik, K. M. Bograchev Reconstruction of temperature distributions in a passive acoustic thermotomography. Search on Bibsonomy ISBI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Huiting Chen, Edward Lee, Randall L. Geiger A 2 GHz VCO with process and temperature compensation. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Jonathan Rose, Wolfgang Klebsch, Jürgen Wolf Temperature measurement and equilibrium dynamics of simulated annealing placements. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
34Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-Aware NBTI Modeling and the Impact of Standby Leakage Reduction Techniques on Circuit Performance Degradation. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF temperature-aware NBTI modeling, circuit performance degradation, Negative bias temperature instability (NBTI), leakage reduction
34Lothar Thiele Temperature-aware Real-Time Scheduling - Extended Abstract. Search on Bibsonomy QEST The full citation details ... 2011 DBLP  DOI  BibTeX  RDF temperature analysis, temperature guarantee, real-time systems, multiprocessor systems
34Aigang Lu, Tianming Wang, Shichang Kang, Deqian Pang On the Relationship between Latitude and Altitude Temperature Effects. Search on Bibsonomy ESIAT (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF latitude temperature effect, altitude temperature effect, seasaw-relationship, global warming
33Orcun Molvalioglu, Zelda B. Zabinsky, Wolf Kohn The interacting-particle algorithm with dynamic heating and cooling. Search on Bibsonomy J. Glob. Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Interacting-particle algorithm, Meta-control, Simulated annealing, Global optimization, Optimal control, Cooling schedule
33Abdlmonem H. Beitelmal, Chandrakant D. Patel Thermo-Fluids Provisioning of a High Performance High Density Data Center. Search on Bibsonomy Distributed Parallel Databases The full citation details ... 2007 DBLP  DOI  BibTeX  RDF smart cooling, load migration, cooling of data center, provisioning of data centers, exergy, transient analysis of data center, CFD analysis of data center, computer room cooling, data center, cooling, heat
33Pablo Ituero, José L. Ayala, Marisa López-Vallejo Leakage-based On-Chip Thermal Sensor for CMOS Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Ahmed Lakhsasi, Youssef Hamri Transient thermal analysis of fast switching devices by partially coupled FEM method. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Svetoslav Bogdanov, Xaver Riedl, Norbert Schwesinger Active New Microvalve Based on Phase Change Effect. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Pedro Chaparro, José González 0002, Antonio González 0001 Thermal-Aware Clustered Microarchitectures. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Weiping Liao, Lei He 0001 Coupled Power and Thermal Simulation with Active Cooling. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Abdul-Rahman Al-Ali, Mohammad Al-Rousan, M. Al-Shaikh Embedded System-Based Mobile Patient Monitoring Device. Search on Bibsonomy CBMS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Weixun Wang, Xiaoke Qin, Prabhat Mishra 0001 Temperature- and energy-constrained scheduling in multitasking systems: a model checking approach. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dvs, temperature-aware, model checking, low power design
32Reiner Jedermann, Walter Lang The Minimum Number of Sensors - Interpolation of Spatial Temperature Profiles in Chilled Transports. Search on Bibsonomy EWSN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Food logistics, Temperature mapping, Wireless sensor networks, Information Processing, Kriging
32Yousra Alkabani, Farinaz Koushanfar, Miodrag Potkonjak N-version temperature-aware scheduling and binding. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF N-variants, high-level synthesis, temperature control
32Giorgio Beccali, Maurizio Cellura, Simona Culotta, Valerio Lo Brano, Antonino Marvuglia A Web-Based Autonomous Weather Monitoring System of the Town of Palermo and Its Utilization for Temperature Nowcasting. Search on Bibsonomy ICCSA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF web-based monitoring, NNARMAX, temperature nowcasting, artificial neural networks, MLP, weather
32Neehar Jandhyala, Lili He 0001, Morris Jones CMOS Based Low Cost Temperature Sensor. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, low cost, temperature sensor
32Andreas Merkel, Frank Bellosa Task activity vectors: a new metric for temperature-aware scheduling. Search on Bibsonomy EuroSys The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity vectorsvectors, hotspot reduction, task characteristics, temperature-aware scheduling, thermal management, task migration
32Pierre Michaud, André Seznec, Damien Fetis, Yiannakis Sazeides, Theofanis Constantinou A study of thread migration in temperature-constrained multicores. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF temperature, Multicore processor, thermal management, thread migration, power density
32David Atienza, Pablo García Del Valle, Giacomo Paci, Francesco Poletti, Luca Benini, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida HW-SW emulation framework for temperature-aware design in MPSoCs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Thermal-aware design, FPGA, emulation, MPSoC, temperature
32Yan Zhang 0028, Mircea R. Stan Temperature-aware circuit design using adaptive body biasing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive body biasing, temperature-aware design
32Kimish Patel, Wonbok Lee, Massoud Pedram Active bank switching for temperature control of the register file in a microprocessor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF register file, thermal model, temperature-aware design
32Risheng Long, Weijun Liu, Xiaofeng Shang Numerical Simulation of Transient Temperature Field for Laser Direct Metal Shaping. Search on Bibsonomy PROLAMAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Laser Direct Metal Shaping, Transient temperature field, laser cladding, Numerical simulation
32Keming Xie, Fang Wang, Gang Xie 0001, Tsau Young Lin Application of Fuzzy Control Base on Changeable Universe to Superheated Steam Temperature Control System. Search on Bibsonomy RSFDGrC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Fuzzy logic control (FLC), changeable universe of discourse, fuzzy variable $alpha$, superheated steam temperature system
32Luigi Carro, Érika F. Cota, Marcelo Lubaszewski, Yves Bertrand, Florence Azaïs, Michel Renovell TI-BIST: a temperature independent analog BIST for switched-capacitor filters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF temperature independent analog BIST, simulation, built-in self test, BIST, analogue circuits, switched capacitor filters, switched-capacitor filters, analog BIST
32Vladimír Székely, Márta Rencz, Bernard Courtois Integrating on-chip temperature sensors into DfT schemes and BIST architectures. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF on-chip low-power small-area CMOS temperature sensor, DfTT, design for thermal testability, safety-critical circuit, integrated circuit testing, BIST, CMOS integrated circuits
32G. Hari Rama Krishna, Amit K. Aditya, Nirmal B. Chakrabarti, Swapna Banerjee Analysis of temperature dependence of Si-Ge HBT. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF semiconductor materials, heterojunction bipolar transistors, Ge-Si alloys, heterojunction bipolar transistors, Ge mole-fraction, two dimensional device simulator, BISOF, current gain, graded HBT, 200 to 300 K, simulation, finite element method, finite element analysis, FEM, temperature dependence, SiGe, thermal analysis, semiconductor device models
30Leila Koushaeian, Stan Skafidas A 65nm CMOS low-power, low-voltage bandgapreference with using self-biased composite cascode opamp. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bandgap voltage reference, self-biased, self-cascode, temperature coefficient, voltage reference
30Judit Bartholy, Rita Pongrácz, Ildikó Pieczka, Péter Kardos, Adrienn Hunyady Computational Analysis of Expected Climate Change in the Carpathian Basin Using a Dynamical Climate Model. Search on Bibsonomy NAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Regional climate modeling, Carpathian Basin, precipitation, temperature
30Wei Huang 0004, Mircea R. Stan, Karthik Sankaranarayanan, Robert J. Ribando, Kevin Skadron Many-core design from a thermal perspective. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF many-core design, thermal design power, performance, temperature
30Niti Madan, Rajeev Balasubramonian Leveraging 3D Technology for Improved Reliability. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant multi-threading, 3D die-stacking, dynamic timing errors, power-efficient microarchitecture, on-chip temperature, reliability, soft errors, parameter variation
30Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir LEAF: A System Level Leakage-Aware Floorplanner for SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LEAF, leakage-aware floorplanning, temperature-aware leakage power, dynamic power profile, system on chip, SoC designs
30Zheng Yanxia, Feng Shaoyuan, Xuyang Zhao Simulation Study on Constructed Rapid Infiltration for Treatment of Surface Wastewater in Town. Search on Bibsonomy CCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Constructed Rapid Infiltration, Water temperature, Surface wastewater
30Wei Huang 0004, Eric Humenay, Kevin Skadron, Mircea R. Stan The need for a full-chip and package thermal model for thermally optimized IC designs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage, package, thermal model, temperature-aware design
29Tetsuaki Baba, Kumiko Kushiyama, Kouki Doi ThermoGame: video game interaction system that offers dynamic temperature sensation to users. Search on Bibsonomy SIGGRAPH Posters The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
29Ján Manuch, Ladislav Stacho, Christine Stoll Two lower bounds for self-assemblies at temperature 1. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Junbo Yu, Qiang Zhou 0001, Jinian Bian Peak temperature control in thermal-aware behavioral synthesis through allocating the number of resources. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29David Doty, Matthew J. Patitz, Scott M. Summers Limitations of Self-assembly at Temperature One. Search on Bibsonomy DNA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Cheol-Hong Moon, Woo-Chun Jang Implementation of LED Array Color Temperature Controlled Lighting System Using RISC IP Core. Search on Bibsonomy ICIC (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Kunal P. Ganeshpure, Ilia Polian, Sandip Kundu, Bernd Becker 0001 Reducing temperature variability by routing heat pipes. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, physical design, thermal modeling, thermal simulation
29Piet Engelke, Ilia Polian, Michel Renovell, Sandip Kundu, Bharath Seshadri, Bernd Becker 0001 On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Thidapat Chantem, Robert P. Dick, Xiaobo Sharon Hu Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Andrea Alimonda, Andrea Acquaviva, Salvatore Carta Temperature and Leakage Aware Power Control for Embedded Streaming Applications. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Atousa Torabi, Guillaume-Alexandre Bilodeau, Maxime Levesque, J. M. Pierre Langlois, Pablo Lema, Lionel Carmant Measuring an Animal Body Temperature in Thermographic Video Using Particle Filter Tracking. Search on Bibsonomy ISVC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Deepak Rajan, Philip S. Yu Temperature-Aware Scheduling: When is System-Throttling Good Enough? Search on Bibsonomy WAIM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Shilpa Bhoj, Dinesh Bhatia A dynamic temperature control simulation system for FPGAs. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Nitz Saputra, Michiel A. P. Pertijs, Kofi A. A. Makinwa, Johan H. Huijsing Sigma delta ADC with a dynamic reference for accurate temperature and voltage sensing. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Hongfu Zhou Simulation on Temperature Fuzzy Control in Injection Mould Machine by Simulink. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29David White Variance in Water Temperature as a Factor in the Modelling of Starfish and Mussel Population Density and Diversity. Search on Bibsonomy ECAL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Ecological systems, Measures of Complexity
29Ayse Kivilcim Coskun, Tajana Simunic Rosing, Keith Whisnant Temperature aware task scheduling in MPSoCs. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Yongpan Liu, Robert P. Dick, Li Shang, Huazhong Yang Accurate temperature-dependent integrated circuit leakage power estimation is easy. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Wen-Jye Shyr Develop e-Learning Platform for Reinforcement Learning on Temperature Sensor. Search on Bibsonomy KES (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Tolga Kaya, Hür Köser, Eugenio Culurciello A Silicon-on-Sapphire Low-Voltage Temperature Sensor for Energy Scavengers. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 16443 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license