The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for timing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1969 (16) 1970-1974 (17) 1975-1976 (20) 1977-1979 (20) 1980-1982 (26) 1983 (15) 1984-1985 (49) 1986 (40) 1987 (40) 1988 (60) 1989 (70) 1990 (106) 1991 (108) 1992 (98) 1993 (105) 1994 (169) 1995 (226) 1996 (211) 1997 (250) 1998 (263) 1999 (334) 2000 (379) 2001 (385) 2002 (598) 2003 (642) 2004 (803) 2005 (881) 2006 (1067) 2007 (1017) 2008 (1041) 2009 (734) 2010 (469) 2011 (452) 2012 (403) 2013 (441) 2014 (427) 2015 (454) 2016 (479) 2017 (486) 2018 (495) 2019 (475) 2020 (429) 2021 (411) 2022 (406) 2023 (432) 2024 (96)
Publication types (Num. hits)
article(5049) book(9) data(2) incollection(43) inproceedings(10844) phdthesis(177) proceedings(21)
Venues (Conferences, Journals, ...)
PATMOS(927) DAC(547) IEEE Trans. Comput. Aided Des....(462) ICCAD(338) CoRR(336) DATE(336) ASP-DAC(223) IEEE Trans. Commun.(210) IEEE Trans. Very Large Scale I...(198) ISCAS(197) ISQED(179) VLSI Design(142) ISPD(131) RTSS(127) ICCD(126) ACM Great Lakes Symposium on V...(125) More (+10 of total 2487)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9063 occurrences of 3443 keywords

Results
Found 16149 publication records. Showing 16145 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
38Yuhua Chen, Wenkuo Liu, Hongwei Wang, Jilin Xie, Timing Zhang, Limeng Yin, Yongde Huang Effect of Ti Content on the Microstructure and Properties of CoCrFeNiMnTix High Entropy Alloy. Search on Bibsonomy Entropy The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
38Yufan Yan, Timing Qu, Francesco Grilli Numerical Modeling of AC Loss in HTS Coated Conductors and Roebel Cable Using T-A Formulation and Comparison With H Formulation. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
38Timing Li, Lei Yang, Kewen Li, Jiannan Zhai An Intelligent Fusion Algorithm and Its Application Based on Subgroup Migration and Adaptive Boosting. Search on Bibsonomy Symmetry The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
38Timing Li, Yongzhe Zhang, Kewen Li, Yongqi Liang, Xiangbo Ma A multi-objective optimization algorithm based on subgroup stratified coarse-grained model and its application. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
38Yimin Dou, Kewen Li, Jianbing Zhu, Timing Li, Shaoquan Tan, Zongchao Huang Efficient Training of 3D Seismic Image Fault Segmentation Network under Sparse Labels by Weakening Anomaly Annotation. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
38Zhengqiu Weng, Timing Chen, Tiantian Zhu, Hang Dong, Dan Zhou, Osama Alfarraj TLSmell: Direct Identification on Malicious HTTPs Encryption Traffic with Simple Connection-Specific Indicators. Search on Bibsonomy Comput. Syst. Sci. Eng. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
38Kewen Li, Mingxiao Yu, Lu Liu, Timing Li, Jiannan Zhai Feature Selection Method Based on Weighted Mutual Information for Imbalanced Data. Search on Bibsonomy Int. J. Softw. Eng. Knowl. Eng. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
38Kewen Li, Lu Liu, Jiannan Zhai, Taghi M. Khoshgoftaar, Timing Li The improved grey model based on particle swarm optimization algorithm for time series prediction. Search on Bibsonomy Eng. Appl. Artif. Intell. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
38Navin Kashyap, David L. Neuhoff Data Synchronization With Timing: The Variable-Rate Case. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Seung Joon Lee, Norman C. Beaulieu A Novel Pulse Designed to Jointly Optimize Symbol Timing Estimation Performance and the Mean Squared Error of Recovered Data. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Liu Yang, Sheqin Dong, Yuchun Ma, Xianlong Hong Interconnect Power Optimization Based on Timing Analysis. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Yinglong Ma, Beihong Jin, Yuancheng Li, Kehe Wu A Timing Analysis Model for Ontology Evolutions Based on Distributed Environments. Search on Bibsonomy PAKDD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Zhi Tian, Georgios B. Giannakis A GLRT approach to data-aided timing acquisition in UWB radios-Part II: training sequence design. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Ken Tseng, Mark Horowitz False coupling exploration in timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Rob A. Rutenbar, Li-C. Wang, Kwang-Ting Cheng, Sandip Kundu Static statistical timing analysis for latch-based pipeline designs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, T. M. Mak Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Rita Yu Chen, Paul Yip, Georgios K. Konstadinidis, Andrew Demas, Fabian Klass, Robert E. Mains, Margaret Schmitt, Dina Bistry Timing Window Applications in UltraSPARC-IIIi? Microprocessor Design. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Cho W. Moon, Harish Kriplani, Krishna P. Belkhale Timing model extraction of hierarchical blocks by graph reduction. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Hsiao-Pin Su, Allen C.-H. Wu, Youn-Long Lin A timing-driven soft-macro placement and resynthesis method in interaction with chip floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Rajat Aggarwal, Rajeev Murgai, Masahiro Fujita Speeding up technology-independent timing optimization by network partitioning. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Louise E. Moser, P. M. Melliar-Smith Analysis of Timing Hazards in Ada Programs. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Ada
38Michiaki Muraoka, Hirokazu Iida, Hideyuki Kikuchihara, Michio Murakami, Kazuyuki Hirakawa ACTAS: an accurate timing analysis system for VLSI. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
38Thomas Lundqvist, Per Stenström Timing Anomalies in Dynamically Scheduled Microprocessors. Search on Bibsonomy RTSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF timing anomaly, Real-time systems, resource allocation, timing analysis, worst-case execution time, out-of-order execution, dynamically scheduled processor
38Hakan Yalcin, John P. Hayes Hierarchical timing analysis using conditional delays. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hierarchical timing analysis, conditional delays, symbolic analysis, timing modeling, path sensitization, high-level modeling
38Aaron J. Gordon, Raphael A. Finkel Handling Timing Errors in Distributed Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF postmortem debugger, history-keeping mechanism, distributed processing, software tools, program testing, directed graph, directed graphs, distributed programs, interprocess communication, TAP, timing errors, timing graph
37Benjamin Carrión Schäfer, Taewhan Kim Hotspots Elimination and Temperature Flattening in VLSI Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Michel Raynal, Gadi Taubenfeld The notion of a timed register and its application to indulgent synchronization. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF test&set, timing assumption, universal object, wait-free implementation, consensus, mutual exclusion, timing constraint, contention manager, process crash, simplicity, atomic register, renaming, concurrent object, asynchronous shared memory system
36Miodrag Vujkovic, David Wadkins, William Swartz, Carl Sechen Efficient timing closure without timing driven placement and routing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital design flow, gate sizing, placement and routing, timing closure
36Anders Edman, Christer Svensson Timing closure through a globally synchronous, timing partitioned design methodology. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF wire delays, clock skew, timing closure
36Johan Nordlander, Rolf Johansson, Risat Mahmud Pathan Unambiguous semantics in automotive timing modeling. Search on Bibsonomy EDCC-CARS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF modeling, synchronization, delay constraints, timing semantics
36Jae-Seok Yang, Krit Athikulwongse, Young-Joon Lee, Sung Kyu Lim, David Z. Pan TSV stress aware timing analysis with applications to 3D-IC layout optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mobility variation, timing analysis, stress, TSV, 3DIC
36Samarjit Chakraborty, Tulika Mitra, Abhik Roychoudhury, Lothar Thiele Cache-aware timing analysis of streaming applications. Search on Bibsonomy Real Time Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Timing analysis, Instruction cache, Streaming applications
36Vicente Torres-Carot, A. Perez-Pascual, T. Sansaloni, Javier Valls Design and FPGA-Implementation of a High Performance Timing Recovery Loop for Broadband Communications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Timing recovery, FPGA, Synchronization, SDR, Feedback loop
36Yusuke Okuno, Takayuki Kanda 0001, Michita Imai, Hiroshi Ishiguro, Norihiro Hagita Providing route directions: design of robot's utterance, gesture, and timing. Search on Bibsonomy HRI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gesture, timing, route directions
36David D. Ling, Chandu Visweswariah, Peter Feldmann, Soroush Abbaspour A moment-based effective characterization waveform for static timing analysis. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF timing analysis, current source model
36Keith So Enforcing long-path timing closure for FPGA routing with path searches on clamped lexicographic spirals. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lexicographic search, negotiated congestion, timing-driven routing, FPGA
36Wenyuan Xu 0001, Wade Trappe, Yanyong Zhang Anti-jamming timing channels for wireless networks. Search on Bibsonomy WISEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF jamming, timing channel, radio interference
36Xiaoxiao Liu, Guangsheng Ma, Qiang Sun An Advanced Timing Characterization Method Considering Global False Path. Search on Bibsonomy IMSCCS (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF functional (mode) dependency, timing analysis, false path, Circuit delay
36Joseph Bonneau, Ilya Mironov Cache-Collision Timing Attacks Against AES. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache, cryptanalysis, AES, side-channel attack, timing attack
36Shuhua Wang, Grace Tsai Specification and Timing Analysis of Real-Time Systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF functional and timing requirements, real-time systems, specification, schedulability analysis, allocation
36Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Capturing crosstalk-induced waveform for accurate static timing analysis. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF capacitive coupling noise, delay calculation, slope propagation, static timing analysis, crosstalk noise
36Sheng-Tzong Cheng, Ashok K. Agrawala Allocation and scheduling of real-time periodic tasks with relative timing constraints. Search on Bibsonomy RTCSA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time periodic tasks, scheduling, scheduling, real-time systems, real-time systems, resource allocation, task scheduling, processor scheduling, timing constraints, communication scheduling
36Jing Zeng, Magdy S. Abadir, Jacob A. Abraham False timing path identification using ATPG techniques and delay-based information. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF false timing paths, timing slack, ATPG, static timing analysis
36Vicki H. Allan, Bogong Su, Pantung Wijaya, Jian Wang 0046 Foresighted Instruction Scheduling Under Timing Constraints. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF foresighted instruction scheduling, minimum timing information, foresighted compaction, data dependency graph arcs, data dependency information, maximum timing information, greedy compaction algorithms, scheduling, parallel algorithms, parallel programming, graph theory, timing constraints, programming theory, list scheduling, look ahead
35Yu-Sheng Huang, Chih-wen Hsueh Minimizing the maximum end-to-end delay on tree structure using the distributed pinwheel model. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF maximum end-to-end delay minimisation, distributed pinwheel model, end-to-end timing requirements, tight maximum delay bound, quality of service, Internet, computational complexity, timing, computer networks, heuristic algorithm, processor scheduling, timing constraints, simulation result, distributed real-time systems, tree structure, heuristic programming, NP-hard problems, linear-time algorithm, pipeline structure
35Gary S. H. Tan, Yong Meng Teo Experiences in simulating a declarative multiprocessor. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF declarative multiprocessor simulation, declarative programming languages, Flagship parallel reduction machine, packet-based graph reduction model, executional units, timing characteristics, event-driven timing scheme, tightly-coupled processor-store pairs, performance evaluation, performance evaluation, parallel architectures, virtual machines, timing, parallel machines, synchronisation, synchronisation, parallel languages, functional languages, functional languages, functional simulator, parallel computer architectures, MIMD architecture, delta network
35Douglas Niehaus, John A. Stankovic, Krithi Ramamritham A real-time system description language. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time system description language, system design specification, automatic calculation, automatic analysis environment, design and analysis environment, specification changes, layered abstractions, concealed implementation details, timing correctness, robotic pick-and-place circuit board assembly, distributed real-time scheduling simulation, ease of modification, automatic loading, real-time systems, timing, specification languages, timing analysis, SDL, automatic linking, flexible manufacturing
35Habib Youssef, Sadiq M. Sait, Khaled Nassar, Muhammad S. T. Benten Performance driven standard-cell placement using the genetic algorithm. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF connection length, timing-driven placer, /spl alpha/-criticality, delay performance improvement, genetic algorithms, genetic algorithm, delays, timing, logic CAD, circuit layout CAD, cellular arrays, integrated circuit layout, critical paths, area, propagation delays, wire length, timing performance, IC design, standard-cell placement
35 It's All in the Timing, Papers from the 2010 AAAI Spring Symposium, Technical Report SS-10-06, Stanford, California, USA, March 22-24, 2010 Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Bastian Hartmann, Ingo Schwab, Norbert Link Prototype Optimization for Temporarily and Spatially Distorted Time Series. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Javier Snaider, Ryan James McCall, Stan Franklin The Immediate Present Train Model Time Production and Representation for Cognitive Agents. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Julie A. Shah, Brian Charles Williams, Cynthia Breazeal Dynamic Execution of Temporal Plans for Temporally Fluid Human-Robot Teaming. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Jonathan K. Alt, Stephen Lieberman, Ahmed Al Rowaei Exploring the Implications of Time in Discrete Event Social Simulations. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Frank Förster, Chrystopher L. Nehaniv Representations of Time in Symbol Grounding Systems. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Pinar Wennerberg, Klaus U. Schulz An Ontology of Socio-Cultural Time Expressions. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Eric M. Meisner, Selma Sabanovic Grounding Communication Without Prior Structure. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Guy Hoffman Anticipation in Human-Robot Interaction. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Ian Horswill, Karl Cheng-Heng Fua, Andrew Ortony Conflict and Hesitancy in Virtual Actors. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35H. Joe Steinhauer, Sook-Ling Chua, Hans Werner Guesgen, Stephen Marsland Utilising Temporal Information in Behaviour Recognition. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Sonia Chernova, Cynthia Breazeal Learning Temporal Plans from Observation of Human Collaborative Behavior. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Hartmut Messerschmidt Separating Moving Objects from Landmarks. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35Richard Veale, Matthias Scheutz Who Needs Time? Implicit Time Is Sufficient for Some HRI Tasks. Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35David V. Lu, Annamaria Pileggi, Chris Wilson, William D. Smart What Can Actors Teach Robots About Interaction? Search on Bibsonomy AAAI Spring Symposium: It's All in the Timing The full citation details ... 2010 DBLP  BibTeX  RDF
35David P. LaPotin, Charles J. Alpert, John Lillis (eds.) Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Monterey, California, USA, December 2-3, 2002 Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  BibTeX  RDF
35Ei Ando, Masafumi Yamashita, Toshio Nakata, Yusuke Matsunaga The statistical longest path problem and its application to delay analysis of logical circuits. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Haydar Saaied, Dhamin Al-Khalili, Asim J. Al-Khalili, Mohamed Nekili Quadratic deferred-merge embedding algorithm for zero skew clock distribution network. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI, clock distribution network, zero skew
35Himanshu Kaul, Dennis Sylvester, David T. Blaauw Active shielding of RLC global interconnects. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Sangyun Kim 0001, Sunan Tugsinavisut, Peter A. Beerel Reducing probabilistic timed petri nets for asynchronous architectural analysis. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Jun Chen 0008, Lei He 0001 Determination of worst-case crosstalk noise for non-switching victims in GHz+ buses. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect design
35Min Zhao 0001, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal Worst case clock skew under power supply variations. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock skew, power supply noise, clock network
35Paul I. Pénzes, Mika Nyström, Alain J. Martin Transistor sizing of energy-delay--efficient circuits. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-delay optimization, transistor sizing
35Brian A. Floyd, Xiaoling Guo, James Caserta, Timothy O. Dickson, Chih-Ming Hung, Kihong Kim, Kenneth K. O Wireless interconnects for clock distribution. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated antenna, wireless interconnect, wireless communication, interconnect, clock distribution, RF CMOS
35Joni Dambre, Dirk Stroobandt, Jan Van Campenhout A probabilistic approach to clock cycle prediction. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clock cycle prediction, performance modeling, interconnect prediction
35Vasant B. Rao, Jeffrey Soreff, Ravichander Ledalla, Fred L. Yang Aggressive crunching of extracted RC netlists. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RC reduction, TICER, crunching, node elimination, resistor shorting, time constants, interconnect modeling, elmore delay
35Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan PERI: a technique for extending delay and slew metrics to ramp inputs. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Elmore, slew, delay, interconnects, PDF, moments, median, skewness, standard deviation
35V. Migairou, Robin Wilson, Sylvain Engels, Zeqin Wu, Nadine Azémard, Philippe Maurine A Simple Statistical Timing Analysis Flow and Its Application to Timing Margin Evaluation. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Lizheng Zhang, Weijen Chen, Yuhen Hu, Charlie Chung-Ping Chen Statistical static timing analysis with conditional linear MAX/MIN approximation and extended canonical timing model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Alexander Maili, Damian Dalton, Christian Steger A Generic Timing Mechanism for Using the APPLES Gate-Level Simulator in a Mixed-Level Simulation Environment. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Per Arne Karlsen, Per Torstein Røine A Timing Verifier and Timing Profiler for Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
35Jeffrey J. P. Tsai, Stephen J. H. Yang, Yao-Hsiung Chang, Eric Y. T. Juan Verifying Timing Properties for Distributed Real-Time Systems Using Timing Constraint Petri Nets. Search on Bibsonomy COMPSAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
35Tatsuya Susa, Masahiro Murakawa, Eiichi Takahashi, Tatsumi Furuya, Tetsuya Higuchi Post-Fabrication Clock-Timing Adjustment for Digital LSIs Ensuring Operational Timing Margins. Search on Bibsonomy HIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Post-fabrication Clock-timing Adjustment, Timing Margin, Digital LSI, Genetic Algorithm, Process Variation
34Marc Schlickling, Markus Pister 0002 Semi-automatic derivation of timing models for WCET analysis. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF vhdl, worst-case execution time, hard real-time
34Xiao Li, Yik-Chung Wu, Erchin Serpedin Timing Synchronization in Decode-and-Forward Cooperative Communication Systems. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Fan-Min Li, Cheng-Hung Lin, An-Yeu Wu Unified Convolutional/Turbo Decoder Design Using Tile-Based Timing Analysis of VA/MAP Kernel. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Pawel A. Dmochowski, Peter J. McLane Timing error detector design and analysis for orthogonal space-time block code receivers. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Christian R. Berger, Shengli Zhou, Zhi Tian, Peter K. Willett Performance analysis on an MAP fine timing algorithm in UWB multiband OFDM. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Daniel Kästner, Reinhard Wilhelm, Reinhold Heckmann, Marc Schlickling, Markus Pister 0002, Marek Jersak, Kai Richter 0001, Christian Ferdinand Timing Validation of Automotive Software. Search on Bibsonomy ISoLA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Yibo Chen, Jin Ouyang, Yuan Xie 0001 ILP-based scheme for timing variation-aware scheduling and resource binding. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Rupak Samanta, Ganesh Venkataraman, Nimay Shah, Jiang Hu Elastic Timing Scheme for Energy-Efficient and Robust Performance. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Elstic, Razor, Pipeline, Boosting
34Yasamin Mostofi, Donald C. Cox A robust timing synchronization design in OFDM systems - part I: low-mobility cases. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Debjit Sinha, Narendra V. Shenoy, Hai Zhou 0001 Statistical Timing Yield Optimization by Gate Sizing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Gadi Taubenfeld Computing in the Presence of Timing Failures. Search on Bibsonomy ICDCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Jin-Tai Yan, Bo-Yi Chiang, Shi-Qin Huang Width and Timing-Constrained Wire Sizing for Critical Area Minimization. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-factor based loop RLC modeling for efficient timing analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Soroush Abbaspour, Hanif Fatemi, Massoud Pedram VGTA: Variation Aware Gate Timing Analysis. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Ingomar Wenzel, Raimund Kirner, Peter P. Puschner, Bernhard Rieder Principles of Timing Anomalies in Superscalar Processors. Search on Bibsonomy QSIC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jason Helge Anderson, Sudip Nag, Kamal Chaudhary, Sandor Kalman, Chari Madabhushi, Paul Cheng Run-Time-Conscious Automatic Timing-Driven FPGA Layout Synthesis. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Leonard Lee, Li-C. Wang, T. M. Mak, Kwang-Ting Cheng A path-based methodology for post-silicon timing validation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Anirudh Devgan, Chandramouli V. Kashyap Block-based Static Timing Analysis with Uncertainty. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran Slope propagation in static timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 16145 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license