The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Dirhaj Pradhan ATPG-based Techniques for Verification. Search on Bibsonomy LATW The full citation details ... 2006 DBLP  BibTeX  RDF
17Giuseppe Di Guglielmo, Franco Fummi, Cristina Marconcini, Graziano Pravadelli EFSM Manipulation to Increase High-Level ATPG Effectiveness. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Khader S. Abdel-Hafez, Kozo Kinoshita A New ATPG Method for Efficient Capture Power Reduction During Scan Testing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Seiji Kajihara, Shohei Morishima, Akane Takuma, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato A Framework of High-quality Transition Fault ATPG for Scan Circuits. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Roger Nicholson, Cathy Kardach, Bruce Cory The Role of ATPG Fault Diagnostics in Driving Physical Analysis. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xiaoding Chen, Michael S. Hsiao Characteristic States and Cooperative Game Based Search for Efficient Sequential ATPG and Design Validation. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xiaoming Yu, Miron Abramovici Sequential circuit ATPG using combinational algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jorge Campos, Hussain Al-Asaad Search-Space Optimizations for High-Level ATPG. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Franco Fummi, Graziano Pravadelli, Franco Toto Coverage of formal properties based on a high-level fault model and functional ATPG. Search on Bibsonomy ETS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jaan Raik, Raimund Ubar, Joachim Sudbrock, Wieslaw Kuzmicz, Witold A. Pleskacz DOT: new deterministic defect-oriented ATPG tool. Search on Bibsonomy ETS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Qiang Qiang, Daniel G. Saab, Jacob A. Abraham An Emulation Model for Sequential ATPG-Based Bounded Model Checking. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mehrdad Nourani, Arun Radhakrishnan Power-supply noise in SoCs: ATPG, estimation and control. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Nikhil Saluja, Sunil P. Khatri Efficient SAT-based combinational ATPG using multi-level don't-cares. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Scott Davidson 0001 A practical look at ATPG. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ismet Bayraktaroglu, Manuel d'Abreu ATPG based functional test for data paths: application to a floating point unit. Search on Bibsonomy HLDVT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Carlos Eduardo Savioli, Claudio C. Czendrodi, José Vicente Calvano, Antonio Carneiro de Mesquita Filho ATPG for fault diagnosis on analog electrical networks using evolutionary techniques. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF genetic algorithms, automatic test pattern generation, fault models, analog and mixed-signal test
17Andreas G. Veneris, Robert Chang, Magdy S. Abadir, Mandana Amiri Fault equivalence and diagnostic test generation using ATPG. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
17Qingwei Wu, Michael S. Hsiao Efficient ATPG for Design Validation Based On Partitioned State Exploration Histories. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Qingwei Wu, Michael S. Hsiao State Variable Extraction to Reduce Problem Complexity for ATPG and Design Validation. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Puneet Gupta, Michael S. Hsiao ALAPTF: A new Transition Faultmodel and the ATPG Algorithm. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Xiao Liu 0010 ATPG and DFT Algorithms for Delay Fault Testing. Search on Bibsonomy 2004   RDF
17Zhigang Yin, Yinghua Min, Xiaowei Li 0001, Huawei Li 0001 A Novel RT-Level Behavioral Description Based ATPG Method. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Hideyuki Ichihara, Tomoo Inoue A Method of Test Generation for Acyclic Sequential Circuits Using Single Stuck-at Fault Combinational ATPG. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2003 DBLP  BibTeX  RDF
17Srikanth Arekapudi, Fei Xin, Jinzheng Peng, Ian G. Harris ATPG for Timing Errors in Globally Asynchronous Locally Synchronous Systems. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Hideyuki Ichihara, Tomoo Inoue Test Generation for Acyclic Sequential Circuits with Single Stuck-at Fault Combinational ATPG. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Kameshwar Chandrasekar, Michael S. Hsiao ATPG-based preimage computation: efficient search space pruning with ZBDD. Search on Bibsonomy HLDVT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jishun Kuang, Yu Wang, Xiaofen Wei, Changnian Zhang IDDT ATPG Based on Ambiguous Delay Assignments. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF IDDT testing, delay Assignments, stuck-open fault
17Rahul Kundu, R. D. (Shawn) Blanton ATPG for Noise-Induced Switch Failures in Domino Logic. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Henk D. L. Hollmann, Erik Jan Marinissen, Bart Vermeulen Optimal Interconnect ATPG Under a Ground-Bounce Constraint. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Lech Józwiak, Aleksander Slusarczyk, Marek A. Perkowski Term Trees in Application to an Effective and Efficient ATPG for AND-EXOR and AND-OR Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Yinghua Min Why RTL ATPG? Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Fatih Kocan, Daniel G. Saab Correction to "ATPG for combinational circuits on configurable hardware". Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Srikanth Arekapudi, Fei Xin, Jinzheng Peng, Ian G. Harris ATPG for timing-induced functional errors on trigger events in hardware-software systems. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Xiao Liu 0010, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran Novel ATPG algorithms for transition faults. Search on Bibsonomy ETW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Mukul R. Prasad, Michael S. Hsiao, Jawahar Jain Improving Sequential ATPG Using SAT Methods. Search on Bibsonomy IWLS The full citation details ... 2002 DBLP  BibTeX  RDF
17Miron Abramovici, Xiaoming Yu, Elizabeth M. Rudnick Low-cost sequential ATPG with clock-control DFT. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Takeshi Asakawa, Kazuhiko Iwasaki Using ATPG vectors for BIST test pattern generator. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal Efficient spectral techniques for sequential ATPG. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Michael S. Hsiao, Jawahar Jain Practical use of sequential ATPG for model checking: going the extra mile does pay off. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ganapathy Parthasarathy, Chung-Yang Huang, Kwang-Ting Cheng An analysis of ATPG and SAT algorithms for formal verification. Search on Bibsonomy HLDVT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Huawei Li 0001, Yinghua Min, Zhongcheng Li An RT-Level ATPG Based on Clustering of Circuit States. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cluster of states, automatic test pattern generation, register-transfer level, behavioral descriptions
17Miron Abramovici, Xiaoming Yu, Elizabeth M. Rudnick Sequential ATPG Using Combinational Algorithms. Search on Bibsonomy LATW The full citation details ... 2001 DBLP  BibTeX  RDF
17Ivor Ting, Andreas G. Veneris, Magdy S. Abadir ATPG Driven Logic Synthesis for Delay and Power Minimization. Search on Bibsonomy LATW The full citation details ... 2001 DBLP  BibTeX  RDF
17Magdy S. Abadir, Scott Davidson 0001, Vijay Nagasamy, Dhiraj K. Pradhan, Prab Varma ATPG for Design Errors-Is It Possible? Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Rainer Dorsch, Hans-Joachim Wunderlich Tailoring ATPG for embedded testing. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Carl Barnhart, Vanessa Brunkhorst, Frank Distler, Owen Farnsworth, Brion L. Keller, Bernd Könemann, Andrej Ferko OPMISR: the foundation for compressed ATPG vectors. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Sudip Chakrabarti, Abhijit Chatterjee Partial Simulation-Driven ATPG for Detection and Diagnosis of Faults in Analog Circuits. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham A quick and inexpensive method to identify false critical paths using ATPG techniques: an experiment with a PowerPCTM microprocessor. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Yiorgos Makris, Alex Orailoglu, Praveen Vishakantaiah Modular test generation and concurrent transparency-based test translation using gate-level ATPG. Search on Bibsonomy CICC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Jayanta Batra, Magdy S. Abadir, Jacob A. Abraham A Quick and Inexpensive Method to Identify False Critical Paths Using ATPG Techniques: an Experiment with a PowerPC Microprocessor. Search on Bibsonomy LATW The full citation details ... 2000 DBLP  BibTeX  RDF
17Marcelino B. Santos, João Paulo Teixeira 0001 Experiments on RTL ATPG and Fault Simulation for High Defect Coverage in Digital Systems-on-a-Chip. Search on Bibsonomy LATW The full citation details ... 2000 DBLP  BibTeX  RDF
17Chung-Yang Huang, Bwolen Yang, Huan-Chih Tsai, Kwang-Ting Cheng Static property checking using ATPG vs. BDD techniques. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Dimitri Kagaris ATPG and BIST. Search on Bibsonomy The VLSI Handbook The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Peter Wohl, John A. Waicukauski Using Verilog simulation libraries for ATPG. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Jennifer Dworak, Michael R. Grimaila, Sooryong Lee, Li-C. Wang, M. Ray Mercer Modeling the probability of defect excitation for a commercial IC with implications for stuck-at fault-based ATPG strategies. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Scott Davidson 0001 Changing our Path to High Level ATPG. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Mario Konijnenburg, Hans van der Linden, Jeroen Geuzebroek Benchmarking DAT with the ITC'99 ATPG Benchmarks. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Matteo Sonza Reorda High-level ATPG: a real topic or an academic amusement? Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Wolfgang Roethig High-level ATPG for Early Power Analysis. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Kuo-Hui Tsai, Tompson, Janusz Rajski, Malgorzata Marek-Sadowska STAR-ATPG: a high speed test pattern generator for large scan designs. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Mahesh A. Iyer High Time For High Level ATPG. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Wu-Tung Cheng High time for high level ATPG. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Rohit Kapur High level ATPG is important and is on its way! Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Mukul R. Prasad, Philip Chong, Kurt Keutzer Why is ATPG Easy? Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Seongmoon Wang, Sandeep K. Gupta 0001 ATPG for Heat Dissipation Minimization During Test Application. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Application of genetically engineered finite-state-machine sequences to sequential circuit ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Hideo Fujiwara Needed: Third-generation ATPG Benchmarks. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  BibTeX  RDF
17Sam D. Huynh, Seongwon Kim, Mani Soma, Jinyan Zhang Testability analysis and multi-frequency ATPG for analog circuits and systems. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Fulvio Corno, Janak H. Patel, Elizabeth M. Rudnick, Matteo Sonza Reorda, Roberto Vietti Enhancing topological ATPG with high-level information and symbolic techniques. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17William E. Dougherty, R. D. (Shawn) Blanton Using regression analysis for GA-based ATPG parameter optimization. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Dimitrios Karayiannis, Spyros Tragoudas A Nonenumerative ATPG for Functionally Sensitizable Path Delay Faults. Search on Bibsonomy VTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Peter Wohl, John A. Waicukauski Defining ATPG rules checking in STIL. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Sitaram Yadavalli, Sanjay Sengupta Impact and Cost of Modeling Memories for ATPG for Partial Scan Designs. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Wangning Long, Shiyuan Yang, Zhongcheng Li, Yinghua Min Memory Efficient ATPG for Path Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Delay Testing, Automatic Test Generation, IC Testing, Path Sensitization
17Andrew Flint A Simulation-Based JTAG ATPG Optimized for MCMs. Search on Bibsonomy ITC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Raghuram S. Tupuri, Jacob A. Abraham A Novel Functional Test Generation Method for Processors Using Commercial ATPG. Search on Bibsonomy ITC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Testability Analysis and ATPG on Behavioral RT-Level VHDL. Search on Bibsonomy ITC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Thomas E. Marchok, Aiman H. El-Maleh, Wojciech Maly, Janusz Rajski A complexity analysis of sequential ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Alternating Strategies for Sequential Circuit ATPG. Search on Bibsonomy ED&TC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Peter Wohl, John A. Waicukauski Test Generation for Ultra-Large Circuits Using ATPG Constraints and Test-Pattern Templates. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Marc E. Levitt Formal Verification of the UltraSPARCTM Family of Processors via ATPG Methods. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Uwe Gläser An ATPG-Based Framework for Verifying Sequential Equivalence. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, B. Rodriguez A Diagnostic ATPG for Delay Faults Based on Genetic Algorithms. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Fabrizio Ferrandi, Franco Fummi, Enrico Macii, Massimo Poncino, Donatella Sciuto Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Zhong Zhang Simulation of ATPG neural network and its experimental results. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Vishwani D. Agrawal, Srimat T. Chakradhar Combinational ATPG theorems for identifying untestable faults in sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Shang-E Tai, Debashis Bhattacharya A three-stage partial scan design method to ease ATPG. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF minimum feed back vertex set, design for testability, partial scan design
17Jaehong Park, Chanhee Oh, M. Ray Mercer Improved sequential ATPG using functional observation information and new justification methods. Search on Bibsonomy ED&TC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda GARDA: a diagnostic ATPG for large synchronous sequential circuits. Search on Bibsonomy ED&TC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Thomas E. Marchok, Aiman El-Maleh, Wojciech Maly, Janusz Rajski Complexity of sequential ATPG. Search on Bibsonomy ED&TC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Hannes C. Wittmann, Manfred Henftling Path delay ATPG for standard scan design. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Manfred Henftling, Hannes C. Wittmann, Kurt Antreich A formal non-heuristic ATPG approach. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Jos van Sas, Erik Huyskens, Hans Naert, Fred Schell, Ad J. van de Goor Coping with Re-usability Using Sequential ATPG: A Practical Case Study. Search on Bibsonomy ITC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Ben Mathew, Daniel G. Saab DFT & ATPG: Together Again. Search on Bibsonomy ITC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Akachai Sang-In, Peter Y. K. Cheung A Method of Representative Fault Selection in Digital Circuits for ATPG. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Byung S. So, Charles R. Kime ICAT: incremental combinational ATPG. Search on Bibsonomy VTS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Gianpiero Cabodi, Paolo Camurati, Stefano Quer Full-Symbolic ATPG for Large Circuits. Search on Bibsonomy ITC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Seongmoon Wang, Sandeep K. Gupta 0001 ATPG for Heat Dissipation Minimization During Test Application. Search on Bibsonomy ITC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Maria José Aguado, Miguel Miranda, Eduardo de la Torre, Carlos A. López-Barrio A dynamic communication strategy for the distributed ATPG system DPLATON. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Yasushi Koseko, Takuji Ogihara, Shinichi Murai Tri-state bus conflict checking method for ATPG using BDD. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 939 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license