The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DRAMs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1994 (19) 1995-1997 (16) 1998-1999 (17) 2000-2001 (27) 2002-2003 (26) 2004-2005 (20) 2006-2007 (16) 2008-2009 (20) 2010-2012 (18) 2013-2015 (27) 2016-2017 (15) 2018-2020 (20) 2021-2024 (16)
Publication types (Num. hits)
article(86) inproceedings(171)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 188 occurrences of 110 keywords

Results
Found 257 publication records. Showing 257 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21A. F. Tasch Jr., Laureen H. Parker Memory cell and technology issues for 64- and 256-Mbit one-transistor cell MOSD DRAMs. Search on Bibsonomy Proc. IEEE The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21W. Malzfeldt, W. Mohr, H.-D. Oberle, K. Kodalle Fast Automatic Failbit Analysis for DRAMs. Search on Bibsonomy ITC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Rainer Kraus, Oskar Kowarik, Kurt Hoffmann, Dieter Oberle Design for Test of Mbit DRAMs. Search on Bibsonomy ITC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Banit Agrawal, Timothy Sherwood High-bandwidth network memory system through virtual pipelines. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VPNM, bank conflicts, mean time to stall, packet reassembly, virtual pipeline, network, memory, DRAM, universal hashing, memory controller, MTS, packet buffering
15Alessandro Cevrero, Panagiotis Athanasopoulos, Hadi Parandeh-Afshar, Philip Brisk, Yusuf Leblebici, Paolo Ienne, Maurizio Skerlj 3D configuration caching for 2D FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF field programmable gate array (fpga), reconfigurable computing, 3d integration, configuration caching
15Mango Chia-Tso Chao, Hao-Yu Yang, Rei-Fu Huang, Shih-Chin Lin, Ching-Yu Chin Fault models for embedded-DRAM macros. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory testing, embedded DRAM
15Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Eugene Gorbatov, Howard David, Zhichun Zhu Mini-rank: Adaptive DRAM architecture for improving memory power efficiency. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ibrahim Hur, Calvin Lin A comprehensive approach to DRAM power management. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik An approach for adaptive DRAM temperature and power management. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF power, temperature, DRAM
15Maurizio Skerlj, Paolo Ienne Error Protected Data Bus Inversion Using Standard DRAM Components. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus inversion, reliability, low power, memory, ECC, DRAM, error protection
15Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik A power and temperature aware DRAM architecture. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF page hit aware write buffer, power, temperature, DRAM
15Andrew G. Schmidt, Ron Sass Characterizing Effective Memory Bandwidth of Designs with Concurrent High-Performance Computing Cores. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Betty Prince Nanotechnology and emerging memories. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FeRAM, ferroelectric, floating body, nanocrystal, nitride storage, scaling issues, single electron memories, memory, variability, scaling, SRAM, MEMs, DRAM, flash, MRAM, phase change, non-volatile, molecular memory
15Zaid Al-Ars, Said Hamdioui, Georgi Gaydadjiev Optimizing Test Length for Soft Faults in DRAM Devices. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DRAM testing, test length optimization, circuit design, memory layout, delay time, soft faults
15Shantanu A. Bhalerao, Abhishek V. Chaudhary, Rajendra M. Patrikar A CMOS Low Voltage Charge Pump. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jung Ho Ahn, William J. Dally Data parallel address architecture. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jike Chong, Chidamber Kulkarni, Gordon J. Brebner Building a flexible and scalable DRAM interface for networking applications on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ravi K. Venkatesan, Stephen Herr, Eric Rotenberg Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jörg E. Vollrath, Jürg Schwizer, Marcin Gnat, Ralf Schneider, Bret Johnson DDR2 DRAM Output Timing Optimization. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jeffrey T. Draper, Tim Barrett, Jeff Sondeen, Sumit D. Mediratta, Chang Woo Kang, Ihn Kim, Gokhan Daglikoca A Prototype Processing-In-Memory (PIM) Chip for the Data-Intensive Architecture (DIVA) System. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF memory bandwidth, memory wall, processing-in-memory
15Justin Teller, Charles B. Silio Jr., Bruce L. Jacob Performance characteristics of MAUI: an intelligent memory system architecture. Search on Bibsonomy Memory System Performance The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MAUI memory architecture, SimpleScalar simulator, data-intensive calculations, intelligent memory, memory architecture, vector processing, SIMD processing
15John C. Koob, Sue Ann Ung, Ashwin S. Rao, Daniel A. Leder, Craig S. Joly, Kristopher C. Breen, Tyler L. Brandon, Michael Hume, Bruce F. Cockburn, Duncan G. Elliott Test and Characterization of a Variable-Capacity Multilevel DRAM. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kiyoo Itoh 0001, Kenichi Osada, Takayuki Kawahara Low-Voltage Embedded RAMs - Current Status and Future Trends. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Zaid Al-Ars, Ad J. van de Goor Soft Faults and the Importance of Stresses in Memory Testing. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF stress application, Fault modeling, memory testing, defect simulation, soft faults
15Yoonseo Choi, Taewhan Kim Memory access driven storage assignment for variables in embedded system design. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Guido Appenzeller, Isaac Keslassy, Nick McKeown Sizing router buffers. Search on Bibsonomy SIGCOMM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF TCP, buffer size, internet router, bandwidth delay product
15Jahangir Hasan, Satish Chandra 0001, T. N. Vijaykumar Efficient Use of Memory Bandwidth to Improve Network Processor Throughput. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Richard H. Stern FTC Piles onto Rambus' Standardization Skullduggery. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Zaid Al-Ars, Ad J. van de Goor DRAM Specific Approximation of the Faulty Behavior of Cell Defects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory specific fault analysis, approximating dynamic behavior, memory testing, DRAM
15Nikil D. Dutt, Daniel S. Hirschberg, Mahesh Mamidipaka Efficient Power Reduction Techniques for Time Multiplexed Address Buses. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address encoding techniques, time-multiplexed addressing, low power
15Kyeong-Sik Min, Young-Hee Kim, Jin-Hong Ahn, Jin-Yong Chung, Takayasu Sakurai CMOS charge pumps using cross-coupled charge transfer switches with improved voltage pumping gain and low gate-oxide stress for low-voltage memory circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Jeffrey T. Draper, Jeff Sondeen, Sumit D. Mediratta, Ihn Kim Implementation of a 32-bit RISC Processor for the Data-Intensive Architecture Processing-In-Memory Chip. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Rina Panigrahy, Samar Sharma Reducing TCAM Power Consumption and Increasing Throughput. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Victor Delaluz, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Mary Jane Irwin Hardware and Software Techniques for Controlling DRAM Power Modes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF low power compilation, software-directed energy management, low power, Memory architecture
15Jörg E. Vollrath, Ulf Lederer, Thomas Hladschik Compressed Bit Fail Maps for Memory Fail Pattern Classification. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF bit fail map, compressed bit fail map, catch ram, memory, DRAM
15Victor Delaluz, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Anand Sivasubramaniam, Mary Jane Irwin DRAM Energy Management Using Software and Hardware Directed Power Mode Control. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Low Power Compilation, Software-Directed Energy Management, Low Power, Memory Architecture
15Zaid Al-Ars, Ad J. van de Goor, Jens Braun, Detlev Richter A Memory Specific Notation for Fault Modeling. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF memory specific fault analysis, memory testing, DRAM, functional fault models, fault primitives
15Matthias Klaus, Ad J. van de Goor Tests for Resistive and Capacitive Defects in Address Decoders. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF address decoders, test conditions, Defects, opens, dynamic faults, capacitive coupling
15Shyue-Kung Lu, Chih-Hsien Hsu Built-In self-repair for divided word line memory. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Yasunao Katayama, Sumio Morioka One-Shot Reed-Solomon Decoding for High-Performance Dependable Systems. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Mary W. Hall, Craig S. Steele Memory Management in a PIM-Based Architecture. Search on Bibsonomy Intelligent Memory Systems The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Chengqiang Zhang, Sally A. McKee Hardware-only stream prefetching and dynamic access ordering. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Brian Davis, Bruce L. Jacob, Trevor N. Mudge The New DRAM Interfaces: SDRAM, RDRAM and Variants. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Matthias Gries The Impact of Recent DRAM Architectures on Embedded Systems Performance. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Michael Nicolaidis, Yervant Zorian Scaling Deeper to Submicron: On-Line Testing to the Rescue. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Kiyoshi Nikawa, Shoji Inoue, Kazuyuki Morimoto, Shinya Sone Failure Analysis Case Studies Using the IR-OBIRCH (Infrared Optical Beam Induced Resistance CHange) Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Norbert Wehn, Søren Hein Embedded DRAM Architectural Trade-Offs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF embedded logic, embedded DRAM
15Said Hamdioui, Ad J. van de Goor Consequences of Port Restriction on Testing Address Decoders in Two-Port Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Reiner W. Hartenstein, Michael Herz, Thomas Hoffmann 0001, Ulrich Nageldinger Exploiting Contemporary Memory Techniques in Reconfigurable Accelerators. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Liuxi Yang, Josep Torrellas Speeding up the Memory Hierarchy in Flat COMA Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cache-only memory architectures, cache coherence protocols, cache hierarchies, scalable shared-memory multiprocessors
15Preeti Ranjan Panda, Nikil D. Dutt, Alexandru Nicolau Exploiting off-chip memory access modes in high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF High Level Synthesis, DRAM, Memory Synthesis
15Ad J. van de Goor, Issam B. S. Tlili Disturb Neighborhood Pattern Sensitive Fault. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Memory fault models, disturb coupling fault model, neighborhood pattern sensitive faults, test algorithms
15Thomas Alexander, Gershon Kedem Distributed Prefetch-buffer/Cache Design for High-Performance Memory Systems. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Prefetch-buffer, cache, prediction, memory
15Michihiro Inoue, Toshio Yamada, Atsushi Fujiwara A New Testing Acceleration Chip for Low-Cost Memory Tests. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15G. Jack Lipovski A four megabit Dynamic Systolic Associative Memory chip. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
15Wolfgang Bergner, Roland Kircher SITAR-an efficient 3-D simulator for optimization of nonplanar trench structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Shigeharu Momoi, Shigeo Shimada, Masamitsu Kobayashi, Tsutomu Ishikawa Hierarchical Array Processor System (HAP). Search on Bibsonomy CONPAR The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #257 of 257 (100 per page; Change: )
Pages: [<<][1][2][3]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license