The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGAs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (17) 1993 (24) 1994 (39) 1995 (70) 1996 (91) 1997 (71) 1998 (174) 1999 (146) 2000 (141) 2001 (145) 2002 (189) 2003 (238) 2004 (313) 2005 (324) 2006 (363) 2007 (373) 2008 (466) 2009 (335) 2010 (271) 2011 (275) 2012 (235) 2013 (278) 2014 (297) 2015 (287) 2016 (279) 2017 (270) 2018 (301) 2019 (307) 2020 (269) 2021 (238) 2022 (240) 2023 (265) 2024 (54)
Publication types (Num. hits)
article(1561) book(9) data(1) incollection(32) inproceedings(5688) phdthesis(79) proceedings(15)
Venues (Conferences, Journals, ...)
ReConFig(858) FPL(762) FPGA(529) FCCM(383) CoRR(245) FPT(180) DATE(121) IPDPS(121) IEEE Trans. Very Large Scale I...(119) DAC(114) IEEE Trans. Comput. Aided Des....(114) ACM Trans. Reconfigurable Tech...(107) ARC(96) ICCAD(85) ISCAS(80) DSD(63) More (+10 of total 806)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3198 occurrences of 1135 keywords

Results
Found 7385 publication records. Showing 7385 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Florent Bruguier, Pascal Benoit, Philippe Maurine, Lionel Torres A New Process Characterization Method for FPGAs Based on Electromagnetic Analysis. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF ElectroMagnetic Analysis (EMA), Process Characterisation, Field-Programmable Gate Arrays (FPGAs), Ring Oscillator
25Frantz Iwu Scalable Fualt Detection for FPGAs. Search on Bibsonomy HASE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGAs, Analysis, Component-based design
25Taneem Ahmed, Paul D. Kundarewich, Jason Helge Anderson, Brad L. Taylor, Rajat Aggarwal Architecture-specific packing for virtex-5 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, performance, FPGAs, field-programmable gate arrays, power, placement, packing
25Adrian Ludwin, Vaughn Betz, Ketan Padalia High-quality, deterministic parallel placement for FPGAs on commodity hardware. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel placement, FPGAs, timing-driven placement
25Paulo Sérgio Brandão do Nascimento, Victor Wanderley Costa de Medeiros, Viviane Lucy Santos de Souza, Abner Corrêa Barros, Manoel Eusébio de Lima A Temporal Partitioning Methodology for Reconfigurable High Performance Computers. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGAs, Reconfigurable Computers, Temporal Partitioning
25Juan Antonio Clemente, Carlos González 0002, Javier Resano, Daniel Mozos A Hardware Task-Graph Scheduler for Reconfigurable Multi-tasking Systems. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hardware multitasking, FPGAs, Reconfigurable architectures, Task scheduling
25Zobeida Jezabel Guzman-Zavaleta, Claudia Feregrino Uribe, René Cumplido A Reversible Data Hiding Algorithm for Radiological Medical Images and Its Hardware Implementation. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Radiological Medical Images, FPGAs, Hardware Implementation, Reversible Data Hiding
25Tom Degryse, Karel Bruneel, Harald Devos, Dirk Stroobandt Loop Transformations to Reduce the Dynamic FPGA Recon?guration Overhead. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dynamic hardware generation, FPGAs, Matrix multiplications, Loop transformations
25Ümit Y. Ogras, Radu Marculescu, Hyung Gyu Lee, Puru Choudhary, Diana Marculescu, Michael Kaufman, Peter Nelson Challenges and Promising Results in NoC Prototyping Using FPGAs. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGAs, interconnection network, network on chip, computer systems organization, computer system implementation
25Heidi E. Ziegler, Mary W. Hall Evaluating heuristics in automatically mapping multi-loop applications to FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-level and architectural synthesis, parallelizing compiler analysis techniques, synthesis techniques for configurable computing, FPGAs, pipelining, rapid prototyping, hardware design
25Oskar Mencer, Wayne Luk Parameterized High Throughput Function Evaluation for FPGAs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGAs, function approximation, CORDIC, lookup table, rational approximation
25Jason Helge Anderson, Farid N. Najm, Tim Tuan Active leakage power optimization for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, FPGAs, field-programmable gate arrays, low-power design, power, leakage
25Jason Helge Anderson, Farid N. Najm Switching activity analysis and pre-layout activity prediction for FPGAs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, low-power design, power, estimation
25Pedro C. Diniz, Joonseok Park Data reorganization engines for the next generation of system-on-a-chip FPGAs. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable-gate-arrays (FPGAs), high-level synthesis, data reorganization
25Douglas Chang, Malgorzata Marek-Sadowska Partitioning Sequential Circuits on Dynamically Reconfigurable FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Dynamically reconfigurable FPGAs, time-mulitplexed FPGA, Dharma, DPGA, field programmable gate array, partitioning, reconfigurable computing, sequential circuit, force directed scheduling
25José Carlos Alves, João Canas Ferreira, C. Albuquerque, José Fernando Oliveira, José Soeiro Ferreira, José Silva Matos FAFNER-Accelerating Nesting Problems with FPGAs. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Custom computing, nesting problems, FPGAs
25Zeljko Zilic, Zvonko G. Vranesic Using Decision Diagrams to Design ULMs for FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ULMs, classification of logic functions, synthesis of logic functions, FPGAs, BDDs
25Peichen Pan, C. L. Liu 0001 Technology Mapping of Sequential Circuits for LUT-Based FPGAs for Performance. Search on Bibsonomy FPGA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF FPGAs, sequential circuits, retiming, technology mapping, look-up table, logic replication, clock period
25Suthikshn Kumar, Kevin E. Forward, Marimuthu Palaniswami A fast-multiplier generator for FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fast-multiplier generator, variable word length multipliers, Booth encoded optimized Wallace tree architecture, field programmable gate arrays, FPGAs, parallel architectures, artificial neural networks, multiplying circuits, FPGA architecture, neural chips
23Liu Ling, Neal Oliver, Bhushan Chitlur, Qigang Wang, Alvin Chen, Wenbo Shen, Zhihong Yu, Arthur Sheiman, Ian McCallum, Joseph Grecco, Henry Mitchel, Dong Liu, Prabhat Gupta High-performance, energy-efficient platforms using in-socket FPGA accelerators. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF in-socket accelerator, fpga, agility
23Falk Salewski, Stefan Kowalewski Hardware/Software Design Considerations for Automotive Embedded Systems. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Ling Zhuo, Viktor K. Prasanna Scalable Hybrid Designs for Linear Algebra on Reconfigurable Computing Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Michael Haselman, Robert Miyaoka, Thomas K. Lewellen, Scott Hauck Fpga-based data acquisition system for a positron emission tomography (PET) scanner. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, positron emission tomography
23Sylvain Guilley, Laurent Sauvage, Jean-Luc Danger, Philippe Hoogvorst Area optimization of cryptographic co-processors implemented in dual-rail with precharge positive logic. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Pongstorn Maidee, Nagib Hakim, Kia Bazargan FPGA family composition and effects of specialized blocks. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23John Curreri, Seth Koehler, Brian Holland, Alan D. George Performance Analysis with High-Level Languages for High-Performance Reconfigurable Computing. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sandeep S. Kumar, Jorge Guajardo, Roel Maes, Geert Jan Schrijen, Pim Tuyls The Butterfly PUF: Protecting IP on every FPGA. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Jin Cui, Zonghua Gu 0001, Weichen Liu, Qingxu Deng An Efficient Algorithm for Online Soft Real-Time Task Placement on Reconfigurable Hardware Devices. Search on Bibsonomy ISORC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Abdel Ejnioui FPGA Prototyping of a Two-Phase Self-Oscillating Micropipeline. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Shiv Balakrishnan, Chris Eddington Efficient DSP algorithm development for FPGA and ASIC technologies. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Nan Guan, Zonghua Gu 0001, Qingxu Deng, Weichen Liu, Ge Yu 0001 Improved Schedulability Analysis of EDF Scheduling on Reconfigurable Hardware Devices. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Kieron Turkington, Konstantinos Masselos, George A. Constantinides, Philip Heng Wai Leong FPGA Based Acceleration of the Linpack Benchmark: A High Level Code Transformation Approach. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Young-Su Kwon, Chong-Min Kyung Performance-driven event-based synchronization for multi-FPGA simulation accelerator with event time-multiplexing bus. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Roman L. Lysecky, Frank Vahid A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Vivek Garg, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti 0001 A function generator-based reconfigurable system. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Marcelo Götz, Achim Rettberg, Carlos Eduardo Pereira A Run-Time Partitioning Algorithm for RTOS on Reconfigurable Hardware. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Ron Wilson, Joe Gianelli, Chris Hamlin, Ken McElvain, Steve Leibson, Ivo Bolson, Rich Tobias, Raul Camposano Structured/platform ASIC apprentices: which platform will survive your board room? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF programmable ASIC platforms, digital design
23François-Xavier Standaert, Siddika Berna Örs, Jean-Jacques Quisquater, Bart Preneel Power Analysis Attacks Against FPGA Implementations of the DES. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Heiko Kalte, Mario Porrmann, Ulrich Rückert 0001 System-on-Programmable-Chip Approach Enabling Online Fine-Grained 1D-Placement. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Keith D. Underwood, K. Scott Hemmert Closing the Gap: CPU and FPGA Trends in Sustainable Floating-Point BLAS Performance. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IEEE floating point, re-configurable computing, FPGA, arithmetic
23Kees A. Vissers Programming models and architectures for FPGA platforms. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Fei Li 0003, Yan Lin 0001, Lei He 0001 FPGA power reduction using configurable dual-Vdd. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, low power, configurable, power efficient, dual-Vdd
23Shih-Lien Lu, Konrad Lai Implementation of HW$im - A Real-Time Configurable Cache Simulator. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Siddika Berna Örs, Elisabeth Oswald, Bart Preneel Power-Analysis Attacks on an FPGA - First Experimental Results. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Patrick Lysaght Field Programmable Systems. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Reiner W. Hartenstein Reconfigurable Computing: A New Business Model and its Impact on SoC Design. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Artur Chojnacki, Lech Józwiak High-quality FPGA Designs through Functional Decomposition with Sub-function Input Support Selection Based on Information Relationship Measures. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Hyun-Kyu Yun, Aaron Smith, Harvey F. Silverman Speech recognition HMM training on reconfigurable parallel processor. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Vaughn Betz, Jonathan Rose Using Architectural "Families" to Increase FPGA Speed and Density. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Charles Eric LaForest, J. Gregory Steffan Efficient multi-ported memories for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, parallel, memory, multi-port
21Doris Chen, Deshanand P. Singh, Jeffrey Chromczak, David M. Lewis, Ryan Fung, David Neto, Vaughn Betz A comprehensive approach to modeling, characterizing and optimizing for metastability in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mtbf, fpga, metastability
21Kuen Hung Tsoi, Wayne Luk Axel: a heterogeneous cluster with FPGAs and GPUs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, heterogeneous cluster
21Kaveh Elizeh, Nicola Nicolici Embedded memory binding in FPGAs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, memory, binding
21Christos-Savvas Bouganis, Sung-Boem Park, George A. Constantinides, Peter Y. K. Cheung Synthesis and Optimization of 2D Filter Designs for Heterogeneous FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 2D filter design, FPGA, Singular Value Decomposition, reconfigurable logic
21Tian Song, Dongsheng Wang 0002, Zhizhong Tang A parameterized multilevel pattern matching architecture on FPGAs for network intrusion detection and prevention. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF network intrusion prevention, network security, pattern matching, network intrusion detection
21Zuo Wang, Feng Shi 0009, Qi Zuo, Weixing Ji, Mengxiao Liu N-port memory mapping for LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logical-to-physical mapping, n-port memory, fpga, hierarchy
21Cristinel Ababei Parallel placement for FPGAs revisited. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga placement, multithreading, parallel simulated annealing
21Christopher T. Johnston, Paul J. Lyons, Donald G. Bailey User evaluation and overview of a visual language for real time image processing on FPGAs. Search on Bibsonomy CHINZ The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, image processing, visual programming language, HDL
21Julien Lamoureux, Tony Field, Wayne Luk Accelerating a Virtual Ecology Model with FPGAs. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Shantanu Dutt, Vinay Verma, Vishal Suthar Built-in-Self-Test of FPGAs With Provable Diagnosabilities and High Diagnostic Coverage With Application to Online Testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Salvatore Pontarelli, Marco Ottavi, Vamsi Vankamamidi, Gian Carlo Cardarilli, Fabrizio Lombardi, Adelio Salsano Analysis and Evaluations of Reliability of Reconfigurable FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Reliability, Fault model, Defect tolerance
21Daniel Ziener, Jürgen Teich Power Signature Watermarking of IP Cores for FPGAs. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IPP, FPGA, watermarking, signature, power analysis, IP cores
21Leos Kafka Analysis of Applicability of Partial Runtime Reconfiguration in Fault Emulator in Xilinx FPGAs. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Kostas Siozios, Dimitrios Soudris An Efficient Approach for Managing Power Consumption Hotspots Distribution on 3D FPGAs. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF P? CAD Algorithm, FPGA, Management, Power, 3D
21Yuuri Sugihara, Yohei Kume, Kazutoshi Kobayashi, Hidetoshi Onodera Speed and yield enhancement by track swapping on critical paths utilizing random variations for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, routing, variation, yield enhancement
21Katarina Paulsson, Michael Hübner 0001, Jürgen Becker 0001 Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity-based Measurement Application on Xilinx FPGAs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Etienne Bergeron, Marc Feeley, Jean-Pierre David Hardware JIT Compilation for Off-the-Shelf Dynamically Reconfigurable FPGAs. Search on Bibsonomy CC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21L. Musa FPGAS in high energy physics experiments at CERN. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Martin Langhammer Floating point datapath synthesis for FPGAs. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ahmad Sghaier, Shawki Areibi, Robert D. Dony IEEE802.16-2004 OFDM functions implementation on FPGAS with design exploration. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Yuuri Sugihara, Yohei Kume, Kazutoshi Kobayashi, Hidetoshi Onodera Performance optimization by track swapping on critical paths utilizing random variations for FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Michael Pellauer, Muralidaran Vijayaraghavan, Michael Adler, Arvind, Joel S. Emer Quick Performance Models Quickly: Closely-Coupled Partitioned Simulation on FPGAs. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Günter Knittel Pipelined Bus-Invert Coding for FPGAs Driving High-Speed DDR-Channels. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bus-Invert Coding, Dual-Data-Rate, FPGA
21Hooman Shayani, Peter J. Bentley, Andy M. Tyrrell A Cellular Structure for Online Routing of Digital Spiking Neuron Axons and Dendrites on FPGAs. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dendrite and Axon Growth, Synapse Formation, Digital Spiking Neuron Model, FPGA, Evolvable Hardware, Cellular
21Falk Salewski, Stefan Kowalewski The effect of real-time software reuse in FPGAs and microcontrollers with respect to software faults. Search on Bibsonomy SIES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Terrence S. T. Mak, Crescenzo D'Alessandro, N. Pete Sedcole, Peter Y. K. Cheung, Alexandre Yakovlev, Wayne Luk Global interconnections in FPGAs: modeling and performance analysis. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, throughput, interconnection, wave-pipelined
21Imran Rafiq Quadri, Samy Meftali, Jean-Luc Dekeyser MARTE based modeling approach for Partial Dynamic Reconfigurable FPGAs. Search on Bibsonomy ESTIMedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Amir Hossein Gholamipour, Elaheh Bozorgzadeh, Lichun Bao Seamless sequence of software defined radio designs through hardware reconfigurability of FPGAs. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Massimo Alioto, Luca Fondelli, Santina Rocchi Analysis and performance evaluation of area-efficient true random bit generators on FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Jie Shao, Ning Ye, Xiao-Yan Zhang An IEEE Compliant Floating-Point Adder with the Deeply Pipelining Paradigm on FPGAs. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Waleed K. Al-Assadi, Sindhu Kakarla A BIST Technique for Crosstalk Noise Detection in FPGAs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Jason Meyer, Fatih Kocan Sharing of SRAM Tables Among NPN-Equivalent LUTs in SRAM-Based FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21K. Scott Hemmert, Keith D. Underwood Floating-Point Divider Design for FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Manuel Saldaña, Lesley Shannon, Jia Shuo Yue, Sikang Bian, John Craig, Paul Chow Routability of Network Topologies in FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Chang Woo Kang, Ali Iranli, Massoud Pedram A Synthesis Approach for Coarse-Grained Antifuse-Based FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Eze Kamanu, Pratapa Reddy, Kenneth Hsu, Marcin Lukowiak A New Architecture for Single-Event Detection & Reconfiguration of SRAM-based FPGAs. Search on Bibsonomy HASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Michael Hübner 0001, Lars Braun, Jürgen Becker 0001, Christopher Claus, Walter Stechele Physical Configuration On-Line Visualization of Xilinx Virtex-II FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Luca Sterpone, Massimo Violante Static and Dynamic Analysis of SEU Effects in SRAM-Based FPGAs. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Katarina Paulsson, Michael Hübner 0001, Günther Auer, Michael Dreschmann, Jürgen Becker 0001 Implementation of a Virtual Internal Configuration Access Port (JCAP) for Enabling Partial Self-Reconfiguration on Xilinx Spartan III FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jérémie Detrey, Florent de Dinechin Floating-Point Trigonometric Functions for FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jorge Guajardo, Sandeep S. Kumar, Geert Jan Schrijen, Pim Tuyls Physical Unclonable Functions, FPGAs and Public-Key Crypto for IP Protection. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Alex Krasnov, Andrew Schultz, John Wawrzynek, Greg Gibeling, Pierre-Yves Droz RAMP Blue: A Message-Passing Manycore System in FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Walid A. Najjar Compiling code accelerators for FPGAs. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Chi-Feng Li, Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang Post-placement leakage optimization for partially dynamically reconfigurable FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF post-placement optimization, scheduling, field-programmable gate array, leakage
21Hamid R. Zarandi, Seyed Ghassem Miremadi, Dhiraj K. Pradhan, Jimson Mathew Soft Error Mitigation in Switch Modules of SRAM-based FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Andrew McCormick An Engineering Approach to Solving HPC Problems using FPGAs. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Cristiana Bolchini, Davide Quarta, Marco D. Santambrogio SEU mitigation for sram-based fpgas through dynamic partial reconfiguration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault detection, SEU, partial dynamic reconfiguration
21Steven Trimberger Trusted Design in FPGAs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Oskar Mencer ASC: a stream compiler for computing with FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jason A. Cheatham, John Marty Emmert, Stanley Baumgart A survey of fault tolerant methodologies for FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault tolerance, FPGA, self test
Displaying result #201 - #300 of 7385 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license