|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 24254 occurrences of 8555 keywords
|
|
|
Results
Found 40310 publication records. Showing 40310 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Farhad Daneshgar |
A Methodology for Redesigning the Collaborative Processes with Undesirable Multi-way Task Dependency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: The 15th International Conference on Information Networking, ICOIN 2001, Beppu City, Oita, Japan, January 31 - February 2, 2001, pp. 769-775, 2001, IEEE Computer Society, 0-7695-0951-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
19 | Hui Jiang 0004, Dong Lin, Xiren Xie |
Agent Class Methodology: A New Kind of Autonomous Object Generation Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TOOLS (31) ![In: TOOLS 1999: 31st International Conference on Technology of Object-Oriented Languages and Systems, 22-25 September 1999, Nanjing, China, pp. 245-252, 1999, IEEE Computer Society, 0-7695-0393-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
agent, object-oriented, class, agent-oriented |
19 | John Huan Vu, Niklas Frojd, Clay Shenkel-Therolf, David S. Janzen |
Evaluating Test-Driven Development in an Industry-Sponsored Capstone Project. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Sixth International Conference on Information Technology: New Generations, ITNG 2009, Las Vegas, Nevada, USA, 27-29 April 2009, pp. 229-234, 2009, IEEE Computer Society, 978-0-7695-3596-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Test- First methodology, Test-Last methodology, software engineering, Test-Driven Development (TDD), capstone project |
19 | Seung Woo Shin, Haeng-Kon Kim |
A Framework for SOA-Based Application on Agile of Small and Medium Enterprise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer and Information Science ![In: Computer and Information Science [outstanding papers from IEEE/ACIS ICIS/IWEA 2008], pp. 107-120, 2008, Springer, 978-3-540-79186-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Service Oriented Architecture, Agile Methodology, Software Development Methodology |
19 | Michel Occello, Jean-Paul Jamont, Régis Guillermin, Manuel Pezzin |
A multiagent approach for an UWB location embedded software architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSTST ![In: CSTST 2008: Proceedings of the 5th International Conference on Soft Computing as Transdisciplinary Science and Technology, Cergy-Pontoise, France, October 28-31, 2008, pp. 279-285, 2008, ACM, 978-1-60558-046-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
UWB location systems, multiagent methodology, wireless sensor network, embedded systems, agent, methodology, multiagent, ultra wide band, location systems |
19 | Alan J. Drake, Todd D. Basso, Spencer M. Gold, Keith L. Kraver, Phiroze N. Parakh, Claude R. Gauthier, P. Sean Stetson, Richard B. Brown |
CGaAs PowerPC FXU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000., pp. 730-735, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
design methodology, microprocessors, testing methodology, Gallium Arsenide |
19 | J. S. Keane, Jerzy W. Rozenblit, Michael Barnes |
The Advanced Battlefield Architecture for Tactical Information Selection (ABATIS). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: 1997 Workshop on Engineering of Computer-Based Systems (ECBS '97), March 24-28, 1997, Monterey, CA, USA, pp. 228-237, 1997, IEEE Computer Society, 0-8186-7889-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Advanced Battlefield Architecture for Tactical Information Selection, warfare, display strategy testing, hierarchical design methodology, object-oriented design methodology, battlefield visualization, process centered display, display layer, object-oriented methods, class diagrams, object modeling technique |
19 | Haider Ali Ramadhan |
Discovery Environments for the Domain Computer Programming: A Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AIMSA ![In: Artificial Intelligence V: Methodology, Systems, Applications - Proceedings of the Fifth International Conference on Artificial Intelligence: Methodology, Systems, Applications, AIMSA 1992, Sofia, Bulgaria, September 21-24, 1992, pp. 235-246, 1992, North-Holland, 0-444-89752-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP BibTeX RDF |
|
18 | Angelo Chiappini, Alessandro Cimatti, Luca Macchi, Oscar Rebollo, Marco Roveri, Angelo Susi, Stefano Tonetta, Berardino Vittorini |
Formalization and validation of a subset of the European Train Control System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE (2) ![In: Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 2, ICSE 2010, Cape Town, South Africa, 1-8 May 2010, pp. 109-118, 2010, ACM. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
formal methods, methodology, requirements validation |
18 | Pompeu Casanovas, Nuria Casellas, Christoph Tempich, Denny Vrandecic, V. Richard Benjamins |
OPJK and DILIGENT: ontology modeling in a distributed environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Artif. Intell. Law ![In: Artif. Intell. Law 15(2), pp. 171-186, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
professional knowledge, methodology, ontology modeling, legal ontologies, rhetorical structure theory |
18 | Ethan Hadar, Irit Hadar |
Effective preparation for design review: using UML arrow checklist leveraged on the Gurus' knowledge. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 22nd Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2007, October 21-25, 2007, Montreal, Quebec, Canada, pp. 955-962, 2007, ACM, 978-1-59593-865-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
modeling, UML, refactoring, object-oriented design, design review, software engineering methodology |
18 | Tobias Lorenz 0003 |
Abductive Fallacies with Agent-Based Modeling and System Dynamics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EPOS ![In: Epistemological Aspects of Computer Simulation in the Social Sciences, Second International Workshop, EPOS 2006, Brescia, Italy, October 5-6, 2006, Revised Selected and Invited Papers, pp. 141-152, 2006, Springer, 978-3-642-01108-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Multi-Paradigm Modeling, Methodology, Agent-based Modeling, System Dynamics, Abduction |
18 | Ricardo Barandela, Eduardo Gasca |
Decontamination of Training Samples for Supervised Pattern Recognition Methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSPR/SPR ![In: Advances in Pattern Recognition, Joint IAPR International Workshops SSPR 2000 and SPR 2000, [8th International Workshop on Structural and Syntactic Pattern Recognition, 3rd International Workshop on Statistical Techniques in Pattern Recognition], Alicante, Spain, August 30 - September 1, 2000, Proceedings, pp. 621-630, 2000, Springer, 3-540-67946-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Supervised methods, depuration methodology, generalized edition, learning, Nearest neighbor classifier |
18 | Chen-Huan Chiang, Sandeep K. Gupta 0001 |
BIST TPG for faults in system backplanes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 406-413, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
BIST circuit, BIST methodology, VME backplane, edge pin connections, programmable test architecture, simple test schedule, system backplanes, built-in self test, built-in self-test, system configuration |
18 | Kresimir Vidackovic, Ingmar Kellner, John Donald |
Business-oriented development methodology for complex event processing: demonstration of an integrated approach for process monitoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEBS ![In: Proceedings of the Fourth ACM International Conference on Distributed Event-Based Systems, DEBS 2010, Cambridge, United Kingdom, July 12-15, 2010, pp. 111-112, 2010, ACM, 978-1-60558-927-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
complex event processing, service engineering, process monitoring, development methodology, event processing network |
18 | Michael Nowak, Shailendra Rao, Clifford Nass, Joel Lewenstein, Andrew Meyer, Jessica Richman |
Toward an experimental methodology for studying persuasion-based online security. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Proceedings of the 27th International Conference on Human Factors in Computing Systems, CHI 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009, pp. 4033-4038, 2009, ACM, 978-1-60558-247-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
experimental web methodology, online security, web brands, persuasive technology |
18 | Asunción Gómez-Pérez, Mari Carmen Suárez-Figueroa |
Scenarios for building ontology networks within the NeOn methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
K-CAP ![In: Proceedings of the 5th International Conference on Knowledge Capture (K-CAP 2009), September 1-4, 2009, Redondo Beach, California, USA, pp. 183-184, 2009, ACM, 978-1-60558-658-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reuse, methodology, re-engineering, ontology development |
18 | Ig Ibert Bittencourt, Pedro Bispo, Evandro de Barros Costa, João Pedro Pontes, Douglas Véras, Diego Dermeval, Henrique Pacca Loureiro Luna |
Modeling JADE Agents from GAIA Methodology under the Perspective of Semantic Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEIS ![In: Enterprise Information Systems, 11th International Conference, ICEIS 2009, Milan, Italy, May 6-10, 2009. Proceedings, pp. 780-789, 2009, Springer, 978-3-642-01346-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Agent methodologies, JADE framework, A semantic web rule language, GAIA methodology, Ontologies, Agent-oriented software engineering |
18 | Brian Henderson-Sellers, Cesar Gonzalez-Perez |
Standardizing Methodology Metamodelling and Notation: An ISO Exemplar. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UNISCON ![In: Information Systems and e-Business Technologies, 2nd International United Information Systems Conference, UNISCON 2008, Klagenfurt, Austria, April 22-25, 2008, Proceedings, pp. 1-12, 2008, Springer, 978-3-540-78941-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
standards, methodology, Metamodelling, notation |
18 | Vineet Kulkarni, Michael Devetsikiotis |
Cross-Layer Response Surface Methodology Applied to Wireless Mesh Network VoIP Call Capacity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 41st Annual Simulation Symposium (ANSS-41 2008), April 14-16, 2008, Ottawa, Canada, pp. 15-22, 2008, IEEE Computer Society, 0-7695-3143-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
voice call capacity, metamodel, wireless mesh networks, cross-layer, response surface methodology |
18 | Christian Huemer, Philipp Liegl, Thomas Motal, Rainer Schuster, Marco Zapletal |
The development process of the UN/CEFACT modeling methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEC ![In: Proceedings of the 10th International Conference on Electronic Commerce 2008, Innsbruck, Austria, August 19-22, 2008, pp. 36:1-36:10, 2008, ACM, 978-1-60558-075-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
B2B standardization, UN/CEFACT's modeling methodology, inter-organizational business process modeling, business process modeling, inter-organizational systems |
18 | David P. Dailey |
A methodology for evaluation of web-based scholarship. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGITE Conference ![In: Proceedings of the 9th Conference on Information Technology Education, SIGITE 2008, Cincinnati, OH, USA, October 16-18, 2008, pp. 219-230, 2008, ACM, 978-1-60558-329-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
methodology, research, peer-review, web-based, scholarship |
18 | Eric Velleman, Christophe Strobbe, Johannes Koch, Carlos A. Velasco, Mikael Snaprud |
A Unified Web Evaluation Methodology Using WCAG. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (7) ![In: Universal Access in Human-Computer Interaction. Applications and Services, 4th International Conference on Universal Access in Human-Computer Interaction, UAHCI 2007 Held as Part of HCI International 2007 Beijing, China, July 22-27, 2007 Proceedings, Part III, pp. 177-184, 2007, Springer, 978-3-540-73282-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
unified web evaluation methodology, uwem, web accessibility, certification, conformance |
18 | Roula Michaelides, Dennis F. Kehoe |
Internet Communities and Open innovation: an Information System Design Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIS-ICIS ![In: 6th Annual IEEE/ACIS International Conference on Computer and Information Science (ICIS 2007), 11-13 July 2007, Melbourne, Australia, pp. 769-775, 2007, IEEE Computer Society, 0-7695-2841-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
information system design methodology, open innovation, Internet communities |
18 | Joseph M. Nolan |
Avoid painting walls with a hammer: a methodology for leveraging COTS/GOTS games for military training. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SpringSim (3) ![In: Proceedings of the 2007 Spring Simulation Multiconference, SpringSim 2007, Norfolk, Virginia, USA, March 25-29, 2007, Volume 3, pp. 289-295, 2007, SCS/ACM, 1-56555-314-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
GOTS, military training, selection methodology, games, COTS |
18 | Melissa Machado, Jonice Oliveira, Jano Moreira de Souza |
A Methodology for Scientific Customer Relationship Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSCWD (Selected Papers) ![In: Computer Supported Cooperative Work in Design IV, 11th International Conference, CSCWD 2007, Melbourne, Australia, April 26-28, 2007. Revised Selected Papers, pp. 396-407, 2007, Springer, 978-3-540-92718-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Internet, CSCW, Knowledge Management, Methodology, Collaborative Design, CRM |
18 | Julian Seidenberg, Alan L. Rector |
A methodology for asynchronous multi-user editing of semantic web ontologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
K-CAP ![In: Proceedings of the 4th International Conference on Knowledge Capture (K-CAP 2007), October 28-31, 2007, Whistler, BC, Canada, pp. 127-134, 2007, ACM, 978-1-59593-643-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
error mitigation, multi-user editing, ontology, semantic web, concurrency, knowledge representation, methodology |
18 | Yonghee Lee, Sangmun Shin, Yongsun Choi, Sang Do Lee |
Development of a Job Stress Evaluation Methodology Using Data Mining and RSM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOST ![In: Pervasive Computing for Quality of Life Enhancement, 5th International Conference On Smart Homes and Health Telematics, ICOST 2007, Nara, Japan, June 21-23, 2007, Proceedings, pp. 226-237, 2007, Springer, 978-3-540-73034-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Job stress evaluation, Survey analysis, Correlation-based feature selection (CBFS), Response surface methodology (RSM), Data mining |
18 | Philipp Offermann, Christian Schröpfer, Maximilian Ahrens |
Extending the UN/CEFACT Modeling Methodology and Core Components for Intra-organizational Service Orchestration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSOC Workshops ![In: Service-Oriented Computing, ICSOC 2006, 4th International Conference, Chicago, IL, USA, December 4-7, 2006, Workshops Proceedings, pp. 154-165, 2006, Springer, 978-3-540-75491-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
UN/CEFACT Modeling Methodology (UMM), Core Components (CC), Business Process Execution Language (BPEL), Business Process Modeling Notation (BPMN), Service-Oriented Architecture (SOA), Service Orchestration |
18 | Carlos J. Martinez, Marisa López, Luis C. Estebanez |
Particle-based methodology for representing mobile ad-hoc networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
InterSense ![In: Proceedings of the First International Conference in Integrated Internet Ad Hoc and Sensor Networks, InterSense 2006, Nice, France, May 30-31, 2006, pp. 4, 2006, ACM, 1-59593-427-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
model, ad-hoc networks, methodology, tools, heterogeneous, ubiquitous, physics, particles |
18 | Steve Munroe, Simon Miles, Luc Moreau 0001, Javier Vázquez-Salceda |
PrIMe: a software engineering methodology for developing provenance-aware applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEM ![In: Proceedings of the 6th International Workshop on Software Engineering and Middleware, SEM 2006, Portland, Oregon, USA, November 10, 2006, pp. 39-46, 2006, ACM, 1-59593-585-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
methodology, distributed applications, provenance, data provenance |
18 | Philippe Georgelin, Venkat Krishnaswamy |
Towards a C++-based design methodology facilitating sequential equivalence checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 93-96, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
modeling methodology, sequential equivalence checking |
18 | Daniel L. Stasiak, Rajat Chaudhry, Dennis Cox, Stephen D. Posluszny, James D. Warnock, Steve Weitzel, Dieter F. Wendel, Michael Wang 0001 |
Cell Processor Low-Power Design Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(6), pp. 71-78, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
VLSI, design methodology, Cell processor, low power consumption |
18 | Tamar Benaya, Ela Zur |
Advanced programming in java workshop: teaching methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITiCSE ![In: Proceedings of the 10th Annual SIGCSE Conference on Innovation and Technology in Computer Science Education, ITiCSE 2005, Caparica, Portugal, June 27-29, 2005, pp. 348, 2005, ACM, 1-59593-024-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
java, collaboration, methodology, projects, workshop |
18 | Bui Minh Duc |
Uniform object modeling methodology and reuse of real-time system using UML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: EMSOFT 2005, September 18-22, 2005, Jersey City, NJ, USA, 5th ACM International Conference On Embedded Software, Proceedings, pp. 44-47, 2005, ACM, 1-59593-091-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
UML design, induced energy, object message, reactive systems, modeling methodology, embedded real-time systems, object technology |
18 | Dov Dori |
ViSWeb - the Visual Semantic Web: unifying human and machine knowledge representations with Object-Process Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 13(2), pp. 120-147, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Visual Semantic Web, Semantic Web, Knowledge representation, Conceptual graphs, Object-Process Methodology |
18 | Kwanghoon Pio Kim, Hyukjae Ryoo, Jaekang Won |
The e-Lollapalooza GlobalWorkflow Modeler: A Registry-Based Workflow Modeling Methodology and System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Workshops ![In: On the Move to Meaningful Internet Systems 2004: OTM 2004 Workshops: OTM Confederated International Workshops and Posters, GADA, JTRES, MIOS, WORM, WOSE, PhDS, and INTEROP 2004, Agia Napa, Cyprus, October 25-29, 2004. Proceedings, pp. 419-430, 2004, Springer, 3-540-23664-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Global Workflow, Workflow Modeling Methodology and System, e-Logistics |
18 | Marc Bertola, Guy Bois |
A methodology for the design of AHB bus master wrappers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), Architectures, Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey, pp. 90-97, 2003, IEEE Computer Society, 0-7695-2003-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
AMBA AHB, slave, methodology, Wrapper, master, bus protocol |
18 | Jia Zhang 0001, Jen-Yao Chung |
Mockup-driven Fast-prototyping Methodology for Web Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAINT ![In: 2003 Symposium on Applications and the Internet (SAINT 2003), 27-31 January 2003 - Orlando, FL, USA, Proceedings, pp. 410-413, 2003, IEEE Computer Society, 0-7695-1872-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
mockups, architecture, methodology, web engineering, automatic code generation, fast-prototyping |
18 | Carles Sierra, Jordi Sabater, Jaume Agustí-Cullell, Pere Garcia |
Integrating evolutionary computing and the SADDE methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: The Second International Joint Conference on Autonomous Agents & Multiagent Systems, AAMAS 2003, July 14-18, 2003, Melbourne, Victoria, Australia, Proceedings, pp. 1116-1117, 2003, ACM, 1-58113-683-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
MAS specification methodology, evolutionary computing |
18 | Fabio Casati, Maria Grazia Fugini, Isabelle Mirbel, Barbara Pernici |
WIRES: A Methodology for Developing Workflow Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Requir. Eng. ![In: Requir. Eng. 7(2), pp. 73-106, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Extensions to UML, Reusable patterns, Workflows for e-services, Workflow, Methodology |
18 | Jae-Hyeon Ahn, Suk-Gwon Chang |
Performance-Oriented Knowledge Management Approach: The KP3 Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 35th Hawaii International Conference on System Sciences (HICSS-35 2002), CD-ROM / Abstracts Proceedings, 7-10 January 2002, Big Island, HI, USA, pp. 198, 2002, IEEE Computer Society, 0-7695-1435-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Measurement of Knowledge, KP3 methodology, Knowledge management |
18 | Surya B. Yadav, Neal G. Shaw, Linda Webb, Canan Sutcu |
Comments on 'Factors that Impact Implementing a System Development Methodology'. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 27(3), pp. 279-281, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
implementation, factor analysis, Systems development methodology, analysis and design |
18 | Eleftheria Stamatopoulou |
Distributed management and interchange of information in virtual engineering enterprises using the systemic methodology PSM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Oper. Res. ![In: Oper. Res. 1(1), pp. 55-66, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
?????? ??????? ????????? ???????? - Problem Structuring Methodology (PSM) - Global Engineering Network (GEN) - ???????? ? ???????? ? ?????????? |
18 | Marie-José Blin, Alexis Tsoukiàs |
Multi-Criteria Methodology Contribution to the Software Quality Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Qual. J. ![In: Softw. Qual. J. 9(2), pp. 113-132, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
software quality standards, COTS evaluation, multicriteria methodology, standards evolution, industrial experiment |
18 | Vildan Tanriverdi, Robert J. K. Jacob |
VRID: a design model and methodology for developing virtual reality interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VRST ![In: Proceedings of the ACM Symposium on Virtual Reality Software and Technology, VRST 2001, Banff, Alberta, Canada, November 15-17, 2001., pp. 175-182, 2001, ACM, 1-58113-427-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
virtual reality, design methodology, design model, user interface software |
18 | Mourad Aberbour, Habib Mehrez, François Durbin, Jacques Haussy, P. Lalande, André Tissot |
A System-On-A-Chip for Pattern Recognition Architecture and Design Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAMP ![In: Fifth International Workshop on Computer Architectures for Machine Perception (CAMP 2000), September 11-13, 2000, Padova, Italy, pp. 155-162, 2000, IEEE Computer Society, 0-7695-0740-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
pattern recognition architecture, VLSI physical integration, VLSI characteristics, pattern recognition, specification, design methodology, system architecture, system-on-a-chip, hardware/software codesign, heterogeneous architecture |
18 | S. L. Lin, S. Mourad, S. Krishnan |
A BIST methodology for at-speed testing of data communications transceivers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 216-221, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
data communication equipment, telecommunication equipment testing, BIST methodology, data communications transceivers, data communications chip, 3-port IEEE 1394a system, CMOS implementation, 0.35 micron, 400 Mbit/s, built-in self test, integrated circuit testing, automatic testing, functional testing, CMOS integrated circuits, at-speed testing, transceivers |
18 | Tom L. Roberts Jr., Michael L. Gibson, R. Kelly Rainer Jr., Kent T. Fields |
Factors that Impact Implementing a System Development Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 24(8), pp. 640-649, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
managers, project management, implementation, life cycle, Systems development methodology, analysis and design |
18 | Toshihiro Hattori, Yusuke Nitta, Mitsuho Seki, Susumu Narita, Kunio Uchiyama, Tsuyoshi Takahashi, Ryuichi Satomura |
Design Methodology of a 200MHz Superscalar Microprocessor: SH-4. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998., pp. 246-249, 1998, ACM Press, 0-89791-964-5. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
verification, timing, design methodology, microprocessor |
18 | A. Likartsis, I. Vlachavas, Lefteri H. Tsoukalas |
A New Hybrid Neural-Genetic Methodology for Improving Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: 9th International Conference on Tools with Artificial Intelligence, ICTAI '97, Newport Beach, CA, USA, November 3-8, 1997, pp. 32-36, 1997, IEEE Computer Society, 0-8186-8203-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
hybrid neural-genetic methodology, genetic algorithms, optimization, learning, neural nets, fitness function, neural network training |
18 | Kaushik De |
Test methodology for embedded cores which protects intellectual property. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 15th IEEE VLSI Test Symposium (VTS'97), April 27-May 1, 1997, Monterey, California, USA, pp. 2-9, 1997, IEEE Computer Society, 0-8186-7810-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
core I/Os, ASIC I/O inaccessibility, partial netlist generation, ASIC level test generation, gate testing, core scan chain, selective boundary scan, coreware design paradigm, logic testing, heuristic algorithm, structural analysis, intellectual property protection, embedded cores, test methodology |
18 | Hui-Min Huang |
An Architecture and a Methodology for Intelligent Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Expert ![In: IEEE Expert 11(2), pp. 46-55, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
multiple dimensional architecture, object oriented, methodology, automation, intelligent control, task analysis, functional decomposition, hierarchical systems |
18 | Markus Schwiegershausen, Peter Pirsch |
A system level design methodology for the optimization of heterogeneous multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), September 13-15, 1995, Cannes, France, pp. 162-169, 1995, ACM, 0-89791-771-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
parametrizable processor modules, programmable processors, system level design methodology, optimization, real-time systems, image processing, linear programming, optimisation, integer programming, multiprocessing systems, heterogeneous systems, mixed integer linear programming, CAD tool, image processing algorithms, heterogeneous multiprocessors, mathematical framework |
18 | John-David Wellman, Edward S. Davidson |
The resource conflict methodology for early-stage design space exploration of superscalar RISC processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 110-115, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
resource conflict methodology, early-stage design space exploration, superscalar RISC processors, execution trace driven simulation, hardware element model, analysis program, performance evaluation, virtual machines, computer architecture, reduced instruction set computing, design cycle |
18 | Norman F. Schneidewind |
Methodology For Validating Software Metrics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 18(5), pp. 410-422, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
comprehensive metrics validation methodology, quality functions, software organizations, project quality goals, nonparametric statistical methods, discriminative power validity criterion, metrics validation process, predictability, tracking, software metrics, software metrics, software reliability, program verification, quality control, repeatability, contingency tables, discriminative power, validity criteria |
18 | Valerio O. Pinci, Robert M. Shapiro |
An integrated software development methodology based on hierarchical colored Petri Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Applications and Theory of Petri Nets ![In: Advances in Petri Nets 1991, Papers from the 11th International Conference on Applications and Theory of Petri Nets, Paris, France, June 1990, pp. 227-252, 1990, Springer, 3-540-54398-8. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
Hierarchical Coloured Petri Nets, Standard ML, software development methodology, SADT |
17 | Dimitris Gizopoulos, Mihalis Psarakis, Miltiadis Hatzimihail, Michail Maniatakos, Antonis M. Paschalis, Anand Raghunathan, Srivaths Ravi 0001 |
Systematic Software-Based Self-Test for Pipelined Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(11), pp. 1441-1453, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Vahid Garousi |
Traffic-aware Stress Testing of Distributed Real-Time Systems Based on UML Models in the Presence of Time Uncertainty. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST ![In: First International Conference on Software Testing, Verification, and Validation, ICST 2008, Lillehammer, Norway, April 9-11, 2008, pp. 92-101, 2008, IEEE Computer Society, 978-0-7695-3127-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Time Uncertainty, UML, Distributed Real-Time Systems, Stress Testing |
17 | Naveen Prakash |
A Process View of Methodologies ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAiSE ![In: Advanced Information Systems Engineering, CAiSE'94, Utrecht, The Netherlands, June 6-10, 1994, Proceedings, pp. 339-352, 1994, Springer, 3-540-58113-8. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
17 | Themis P. Exarchos, Markos G. Tsipouras, Costas Papaloukas, Dimitrios I. Fotiadis |
An optimized sequential pattern matching methodology for sequence classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knowl. Inf. Syst. ![In: Knowl. Inf. Syst. 19(2), pp. 249-264, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Sequential pattern matching, Optimization, Sequential pattern mining, Sequence classification |
17 | Alma Gómez-Rodríguez, Juan Carlos González Moreno |
Methodology vs. Development Process: A Case Study for AOSE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN (2) ![In: Distributed Computing, Artificial Intelligence, Bioinformatics, Soft Computing, and Ambient Assisted Living, 10th International Work-Conference on Artificial Neural Networks, IWANN 2009 Workshops, Salamanca, Spain, June 10-12, 2009. Proceedings, Part II, pp. 29-36, 2009, Springer, 978-3-642-02480-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
AOSE Case Study, Multi-Agent Systems, Metamodel, Development Process, SPEM |
17 | Sonali Chouhan, M. Balakrishnan, Ranjan Bose |
An experimental validation of system level design space exploration methodology for energy efficient sensor nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 355-358, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
computation-radio energy trade-off, wireless sensor networks, error correcting codes, low energy, energy measurement |
17 | Ryuhei Funada, Tuncer Baykas, Chin-Sean Sum, Junyi Wang, Mohammad Azizur Rahman, Hiroshi Harada, Shuzo Kato |
Novel frame design methodology for multi-gigabit 60GHz WPAN systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PIMRC ![In: Proceedings of the IEEE 19th International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC 2008, 15-18 September 2008, Cannes, French Riviera, France, pp. 1-5, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Tai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee |
A hybrid software-based self-testing methodology for embedded processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008, pp. 1528-1534, 2008, ACM, 978-1-59593-753-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
embedded processor testing, fault coverage, functional testing, software-based self-test |
17 | Ja Chun Ku, Yehea I. Ismail |
Thermal-Aware Methodology for Repeater Insertion in Low-Power VLSI Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(8), pp. 963-970, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | B. P. Harish, Navakanta Bhat, Mahesh B. Patil |
On a Generalized Framework for Modeling the Effects of Process Variations on Circuit Delay Performance Using Response Surface Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(3), pp. 606-614, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Ja Chun Ku, Yehea I. Ismail |
Thermal-aware methodology for repeater insertion in low-power VLSI circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 86-91, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
low-power design, repeater insertion, temperature-aware design |
17 | Neeli Rashmi Prasad |
Threat Model Framework and Methodology for Personal Networks (PNs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMSWARE ![In: Proceedings of the Second International Conference on COMmunication System softWAre and MiddlewaRE (COMSWARE 2007), January 7-12, 2007, Bangalore, India, 2007, IEEE, 1-4244-0614-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Heeseo Chae, Dong-hyun Lee, Jiyong Park, Hoh Peter In |
The Partitioning Methodology in Hardware/Software Co-Design Using Extreme Programming: Evaluation through the Lego Robot Project. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea, pp. 187, 2006, IEEE Computer Society, 0-7695-2687-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Nadja Damij, Talib Damij |
Business Process Modelling and Improvement Using TAD Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Business Process Management ![In: Business Process Management, 3rd International Conference, BPM 2005, Nancy, France, September 5-8, 2005, Proceedings, pp. 380-385, 2005, 3-540-28238-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Jiang Xu 0001, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar |
A methodology for design, modeling, and analysis of networks-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (2) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 1778-1781, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Sankalp Kallakuri, Nattawut Thepayasuwan, Alex Doboli, Eugene A. Feinberg |
A continuous time markov decision process based on-chip buffer allocation methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005, pp. 345-348, 2005, ACM, 1-59593-057-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
buffer space management, SoC |
17 | José Carlos Sancho, Antonio Robles, José Duato |
An Effective Methodology to Improve the Performance of the Up*/Down* Routing Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(8), pp. 740-754, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Nils Agne Nordbotten, María Engracia Gómez, José Flich, Pedro López 0001, Antonio Robles, Tor Skeie, Olav Lysne, José Duato |
A Fully Adaptive Fault-Tolerant Routing Methodology Based on Intermediate Nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: Network and Parallel Computing, IFIP International Conference, NPC 2004, Wuhan, China, October 18-20, 2004, Proceedings, pp. 341-356, 2004, Springer, 3-540-23388-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Christos Drosos, Dimitris Metafas, George D. Papadopoulos |
A UML-Based Methodology for the System Design of a Wireless LAN Prototype. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 7th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2004), 12-14 May 2004, Vienna, Austria, pp. 45-51, 2004, IEEE Computer Society, 0-7695-2124-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Michiaki Muraoka, Hiroaki Nishi, Rafael K. Morizawa, Hideaki Yokota, Hideyuki Hamada |
Design methodology for SoC arthitectures based on reusable virtual cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 256-262, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Syed Saif Abrar |
Cycle-Accurate Energy Model and Source-Independent Characterization Methodology for Embedded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 749-752, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Sherif M. Yacoub, Hany H. Ammar |
A Methodology for Architecture-Level Reliability Risk Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 28(6), pp. 529-547, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Reliability risk analysis, component-dependency graphs, severity measures and dynamic metrics, software architecture, risk assessment, risk modeling |
17 | Kostas Masselos, Koen Danckaert, Francky Catthoor, Nikolaos D. Zervas, Constantinos E. Goutis, Hugo De Man |
A Specification Refinement Methodology for Power Efficient Partitioning of Data-Dominated Algorithms Within Performance Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 26(3), pp. 291-317, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
processor partitioning, memory cost, performance constraints, multi-media, code transformations |
17 | Moon-Kun Lee, Sung-Og Park |
A Methodology to Extract Objects from Procedural Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 24th International Computer Software and Applications Conference (COMPSAC 2000), 25-28 October 2000, Taipei, Taiwan, pp. 557-566, 2000, IEEE Computer Society, 0-7695-0792-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Rupesh S. Shelar, Sacheendra Nath, Jagmohan S. Nanaware |
Parameterized Reusable Component Library Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 26th EUROMICRO 2000 Conference, Informatics: Inventing the Future, 5-7 September 2000, Maastricht, The Netherlands, pp. 1410-1415, 2000, IEEE Computer Society, 0-7695-0780-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Per H. Andersen, Joseph Pizzi, Runlin Zhu, Youling Cao, Donald J. Bagert, John K. Antonio, Fred Lott, John C. Grieger |
Evaluation of a Methodology for the Reverse Engineering and Parallelization of Sequential Code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDSE ![In: International Symposium on Software Engineering for Parallel and Distributed Systems, PDSE 1999, Los Angeles, California, USA, May 17-18, 1999, pp. 124-133, 1999, IEEE Computer Society, 0-7695-0191-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
software engineering, parallel processing, parallelization, reverse engineering |
17 | Pao-Ann Hsiung, Chung-Hwang Chen, Trong-Yen Lee, Sao-Jie Chen |
ICOS: an intelligent concurrent object-oriented synthesis methodology for multiprocessor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 3(2), pp. 109-135, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
concurrent object-oriented system-level synthesis, fuzzy design-space exploration, learning |
17 | Yen-Kuang Chen, Sun-Yuan Kung |
A Systolic Design Methodology with Application to Full-Search Block-Matching Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 19(1), pp. 51-77, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Kay M. Nelson, Mehdi Ghods, H. James Nelson |
Measuring the Effectiveness of a Structured Methodology: A Comparative Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (6) ![In: Thirty-First Annual Hawaii International Conference on System Sciences, Kohala Coast, Hawaii, USA, January 6-9, 1998, pp. 492-499, 1998, IEEE Computer Society, 0-8186-8255-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
17 | Julio Leao da Silva Jr., Chantal Ykman-Couvreur, Bill Lin 0001, Hugo De Man, Gjalt G. de Jong |
A System Design Methodology for Telecommunication Network Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 13-15 March 1997, Urbana, IL, USA, pp. 64-69, 1997, IEEE Computer Society, 0-8186-7904-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Raymond A. Liuzzi, P. Bruce Berra |
A methodology for the development of special-purpose function architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1982 National Computer Conference, 7-10 June, 1982, Houston, Texas, USA, pp. 125-134, 1982, AFIPS Press, 0-88283-035-X. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
17 | Luiz Antônio Pereira Neves, João Marques de Carvalho, Jacques Facon, Flávio Bortolozzi |
A new table interpretation methodology with little knowledge base: table interpretation methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006, pp. 847-852, 2006, ACM, 1-59593-108-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
handwritten data, table-form extraction, table-form recognition, document segmentation |
17 | Raid Al-Aomar |
General methodology 1: a robust simulation-based multicriteria optimization methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 34th Winter Simulation Conference: Exploring New Frontiers, San Diego, California, USA, December 8-11, 2002, pp. 1931-1939, 2002, WSC, 0-7803-7615-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Jianwei Liu 0007, Allen Higgins, Yao-Hua Tan |
IT enabled redesign of export procedure for high-value pharmaceutical product under temperature control: the case of drug living lab. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DG.O ![In: Proceedings of the 11th Annual International Conference on Digital Government Research, Public Administration Online: Challenges and Opportunities, DG.O 2010, Puebla, Mexico, May 17-20, 2010, pp. 4-13, 2010, Digital Government Research Center, 978-1-4503-0070-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP BibTeX RDF |
G2B, export procedure, redesign methodology, case study, business process redesign |
16 | Per Runeson, Martin Höst |
Guidelines for conducting and reporting case study research in software engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Empir. Softw. Eng. ![In: Empir. Softw. Eng. 14(2), pp. 131-164, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Guidelines, Case study, Research methodology, Checklists |
16 | H. Onan Demirel, Vincent G. Duffy |
Impact of Force Feedback on Computer Aided Ergonomic Analyses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (11) ![In: Digital Human Modeling, Second International Conference, ICDHM 2009, Held as Part of HCI International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings, pp. 608-613, 2009, Springer, 978-3-642-02808-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Computer Aided Engineering (CAE), Virtual Build Methodology (VBM), Motion Capture (MoCap), Healthcare Engineering, Haptics, Force Feedback, Product Design, Ergonomics, Digital Human Modeling (DHM) |
16 | Christopher A. Le Dantec, Erika Shehan Poole, Susan Wyche |
Values as lived experience: evolving value sensitive design in support of value discovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 27th International Conference on Human Factors in Computing Systems, CHI 2009, Boston, MA, USA, April 4-9, 2009, pp. 1141-1150, 2009, ACM, 978-1-60558-246-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
photo elicitation, methodology, values, empirical methods, value sensitive design, fieldwork |
16 | Sung-Wook Lee, Haeng-Kon Kim, Roger Y. Lee |
Enterprise Process Model for Extreme Programming with CMMI Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer and Information Science ![In: Computer and Information Science [outstanding papers from IEEE/ACIS ICIS/IWEA 2008], pp. 169-180, 2008, Springer, 978-3-540-79186-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
eXtreme Programming, Software Process Improvement, CMMI, Agile Methodology |
16 | Liming Chen 0001, Nigel R. Shadbolt, Carole A. Goble |
A Semantic Web-Based Approach to Knowledge Management for Grid Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 19(2), pp. 283-296, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Semantic Web, Grid computing, knowledge management, methodology, engineering design |
16 | Vadim Ermolayev, Wolf-Ekkehard Matzke |
Towards Industrial Strength Business Performance Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HoloMAS ![In: Holonic and Multi-Agent Systems for Manufacturing, Third International Conference on Industrial Applications of Holonic and Multi-Agent Systems, HoloMAS 2007, Regensburg, Germany, September 3-5, 2007, Proceedings, pp. 387-400, 2007, Springer, 978-3-540-74478-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
performance ontology, performance measurement and management methodology, simulation, engineering design, microelectronics, Business performance |
16 | Felipe Ortega, Jesús M. González-Barahona |
Quantitative analysis of thewikipedia community of users. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. Sym. Wikis ![In: Proceedings of the 2007 International Symposium on Wikis, 2007, Montreal, Quebec, Canada, October 21-25, 2007, pp. 75-86, 2007, ACM, 978-1-59593-861-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
WikiXRay, methodology, Wikipedia, quantitative analysis |
16 | Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry |
A Timing-Driven Algorithm for Leakage Reduction in MTCMOS FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 678-683, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
0.13 micron, timing-driven algorithm, MTCMOS FPGA, MTCMOS CAD methodology, subthreshold leakage power reduction, nanometer FPGA, circuit timing information, CMOS process |
16 | Ilhan Hatirnaz, Stéphane Badel, Nuria Pazos, Yusuf Leblebici, Srinivasan Murali, David Atienza, Giovanni De Micheli |
Early wire characterization for predictable network-on-chip global interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The Ninth International Workshop on System-Level Interconnect Prediction (SLIP 2007), Austin, Texas, USA, March 17-18, 2007, Proceedings, pp. 57-64, 2007, ACM, 978-1-59593-622-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
early wire characterization, design methodology, NoCs, global interconnects |
Displaying result #201 - #300 of 40310 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|