|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4167 occurrences of 2110 keywords
|
|
|
Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
36 | Kei Hirose, Hiroto Yasuura |
A Bus Delay Reduction Technique Considering Crosstalk. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France, pp. 441-445, 2000, IEEE Computer Society / ACM, 0-7695-0537-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
36 | A. V. Hariharakrishnan, Simon Johney |
Validation Platform for a P1394a OHCI-Link Layer Synthesizable Core (With a PCI Bus Interface). ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 26th EUROMICRO 2000 Conference, Informatics: Inventing the Future, 5-7 September 2000, Maastricht, The Netherlands, pp. 1235-, 2000, IEEE Computer Society, 0-7695-0780-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
36 | Vijay Sundararajan, Keshab K. Parhi |
Reducing bus transition activity by limited weight coding with codeword slimming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, Chicago, Illinois, USA, March 2-4, 2000, pp. 13-16, 2000, ACM, 1-58113-251-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
36 | Marios G. Scottis, Marwan Krunz, Max M.-K. Liu |
Enhancing the PCI bus to support real-time streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCCC ![In: Proceedings of the IEEE International Performance Computing and Communications Conference, IPCCC 1999, Phoenix/Scottsdale, Arizona, USA, 10-12 February 1999, pp. 303-309, 1999, IEEE, 0-7803-5258-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
36 | Constantine Katsinis |
Performance Analysis and Simulation of the SOME-Bus Architecture Using Message Passing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the International Conference On Computer Communications and Networks (ICCCN 1998), October 12-15, 1998, Lafayette, Louisiana, USA, pp. 68-72, 1998, IEEE Computer Society, 0-8186-9014-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
simulation, performance analysis, Interconnection networks |
36 | Masafumi Takahashi, Hiroyuki Takano, Emi Kaneko, Seigo Suzuki |
A Shared-Bus Control Mechanism and a Cache Coherence Protocol for a High-Performance On-Chip Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 314-322, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
36 | Biswanath Mukherjee |
Performance of a Dual-Bus Unidirectional Broadcast Network Operating Under a Probabilistic Scheduling Strategy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1989 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, Berkeley, California, USA, May 23-26, 1989, pp. 118-126, 1989, ACM, 0-89791-315-9. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
36 | Susan J. Eggers, Randy H. Katz |
The Effect of Sharing on the Cache and Bus Performance of Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-III Proceedings - Third International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, Massachusetts, USA, April 3-6, 1989., pp. 257-270, 1989, ACM Press, 0-89791-300-0. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
35 | Satoshi Komatsu, Masahiro Fujita |
An optimization of bus interconnects pitch for low-power and reliable bus encoding scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Deni Torres, J. Gonzalez, Manuel Guzman, L. Nuñez |
A new bus assignment in a designed shared bus switch fabric. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 423-426, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
33 | Joel Coburn, Srivaths Ravi 0001, Anand Raghunathan, Srimat T. Chakradhar |
SECA: security-enhanced communication architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2005, San Francisco, California, USA, September 24-27, 2005, pp. 78-89, 2005, ACM, 1-59593-149-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
AMBA Bus, security-aware design, small embedded systems, security, communication, access control, architecture, intrusion detection, system-on-chip (SoC), attacks, bus, digital rights management (DRM) |
33 | Pi-Rong Sheu, Charng-Maw Lin |
A fast optimal slot reuse scheme for CRMA high speed networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 22nd IEEE Conference on Local Computer Networks (LCN '97), 2-5 November 1997, Minneapolis, Minnesota, USA, Proceedings, pp. 220-229, 1997, IEEE Computer Society, 0-8186-8141-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
CRMA high speed networks, cyclic-reservation multiple-access, fast optimal slot reuse scheme, high-speed local area networks, high-speed metropolitan area networks, folded-bus configurations, dual-bus configurations, reserve command generation, empty slots reservation, average cycle length, average throughput, average MAC delay, performance measurements, time complexity, computer simulations, NP-complete problem, metropolitan area networks, access delay |
32 | Ying Zhang 0040, Huawei Li 0001, Xiaowei Li 0001, Yu Hu 0001 |
Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 26th IEEE VLSI Test Symposium (VTS 2008), April 27 - May 1, 2008, San Diego, California, USA, pp. 377-382, 2008, IEEE Computer Society, 978-0-7695-3123-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Codeword Selection, Crosstalk Avoidance, Reliable Bus |
32 | Dimitrios Lymberopoulos, Nissanka Bodhi Priyantha, Feng Zhao 0001 |
mPlatform: a reconfigurable architecture and efficient data sharing mechanism for modular sensor nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSN ![In: Proceedings of the 6th International Conference on Information Processing in Sensor Networks, IPSN 2007, Cambridge, Massachusetts, USA, April 25-27, 2007, pp. 128-137, 2007, ACM, 978-1-59593-638-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
high speed data bus, reconfigurable sensor node, CPLD, modular architecture |
32 | Srinivasa R. Sridhara, Naresh R. Shanbhag |
Coding for system-on-chip networks: a unified framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 103-106, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
bus coding, crosstalk avoidance, low-power, error-correcting codes, low-swing |
32 | Chingren Lee, Jenq Kuen Lee, TingTing Hwang, Shi-Chun Tsai |
Compiler optimization on VLIW instruction scheduling for low power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 8(2), pp. 252-268, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
VLIW instruction scheduling, instruction bus optimizations, low-power optimization, Compilers |
32 | Michael Gasteier, Manfred Glesner |
Bus-based communication synthesis on system level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 4(1), pp. 1-11, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
bus generation, bus without arbitration, statically scheduled systems, transfer scheduling, communication synthesis |
32 | Yean-Shiang Leu, David Hung-Chang Du |
Cycle Compensation Protocol: A Fair Protocol for the Unidirectional Twin-Bus Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 43(1), pp. 1-12, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
cycle compensation protocol, fair protocol, unidirectional twin-bus architecture, IEEE 802.6 Standard, Distributed Queue Dual Bus, channel bandwidth, unfairness problem, protocols, standards, local area networks, metropolitan area networks, metropolitan area networks, channel utilization |
32 | Jie-Yong Juang, Benjamin W. Wah |
A Contention-Based Bus-Control Scheme for Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 40(9), pp. 1046-1053, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
bus-control schemes, scheduling processors, scheduling, computational complexity, multiprocessor interconnection networks, multiprocessing systems, contention-based, bit-parallel, shared bus |
32 | Giovanni Chiola, Marco Ajmone Marsan, Gianfranco Balbo |
Product-Form Solution Techniques for the Performance Analysis of Multiple-Bus Multiprocessor Systems with Nonuniform Memory References. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 37(5), pp. 532-540, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
local balance property, multiple-bus multiprocessor systems, nonuniform memory references, steady-state probability distribution, queuing models with passive resources, recursive solution, processor access rates, memory selection probabilities, first-come-first-served bus scheduling policy, scheduling, performance evaluation, performance analysis, multiprocessor interconnection networks, queueing theory, numerical analysis, product-form solution, exact computation |
32 | Haklin Kimm, Sung Y. Shin, Ho-sang Ham, Chang Oan Sung |
Failure management development for integrated automotive safety-critical software systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 517-521, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
32 | Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Zhichun Zhu |
Decoupled DIMM: building high-bandwidth memory system using low-speed DRAM devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 255-266, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bandwidth decoupling, decoupled DIMM, DRAM memories |
32 | Gunar Schirner, Rainer Dömer |
Quantitative analysis of the speed/accuracy trade-off in transaction level modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 8(1), pp. 4:1-4:29, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
system-on-chip, System level design, transaction level modeling |
32 | T. Venkata Kalyan, Madhu Mutyam, Vijaya Sankara Rao Pasupureddi |
Exploiting Variable Cycle Transmission for Energy-Efficient On-Chip Interconnect Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India, pp. 235-241, 2008, IEEE Computer Society, 0-7695-3083-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
32 | Prassanna Sithambaram, Alberto Macii, Enrico Macii |
New Adaptive Encoding Schemes for Switching Activity Balancing in On-Chip Buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 17th International Workshop, PATMOS 2007, Gothenburg, Sweden, September 3-5, 2007, Proceedings, pp. 232-241, 2007, Springer, 978-3-540-74441-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
32 | M. Omar Faruque Sarker, ChangHwan Kim, Seungheon Back, Bum-Jae You |
An IEEE-1394 Based Real-time Robot Control System for Efficient Controlling of Humanoids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 1416-1421, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
32 | Federico Rota, Shantanu Dutt, Sahithi Krishna |
Off-Chip Control Flow Checking of On-Chip Processor-Cache Instruction Stream. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 4-6 October 2006, Arlington, Virginia, USA, pp. 507-515, 2006, IEEE Computer Society, 0-7695-2706-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
32 | Himanshu Kaul, Dennis Sylvester, Mark A. Anders 0001, Ram Krishnamurthy 0001 |
Design and analysis of spatial encoding circuits for peak power reduction in on-chip buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(11), pp. 1225-1238, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
32 | Shang-Wei Tu, Jing-Yang Jou, Yao-Wen Chang |
RLC coupling-aware simulation for on-chip buses and their encoding for delay reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 4134-4137, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
32 | Akira Mochizuki, Takashi Takeuchi, Takahiro Hanyu |
Intra-Chip Address-Presetting Data-Transfer Scheme Using Four-Valued Encoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 34th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2004), 19-22 May 2004, Toronto, Canada, pp. 192-197, 2004, IEEE Computer Society, 0-7695-2130-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
32 | Himanshu Kaul, Dennis Sylvester, Mark A. Anders 0001, Ram Krishnamurthy 0001 |
Spatial encoding circuit techniques for peak power reduction of on-chip high-performance buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 194-199, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
32 | E. Malley, Ariel Salinas, Kareem Ismail, Lawrence T. Pileggi |
Power Comparison of Throughput Optimized IC Busses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), New Trends and Technologies for VLSI Systems Design, 20-21 February 2003, Tampa, FL, USA, pp. 35-44, 2003, IEEE Computer Society, 0-7695-1904-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
32 | K. Basu, Alok N. Choudhary, Jayaprakash Pisharath, Mahmut T. Kandemir |
Power protocol: reducing power dissipation on off-chip data buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002, pp. 345-355, 2002, ACM/IEEE Computer Society, 0-7695-1859-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
32 | Flavien Balbo, Suzanne Pinson |
Toward a Multi-agent Modelling Approach for Urban Public Transportation Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESAW ![In: Engineering Societies in the Agents World II, Second International Workshop, ESAW 2001, Prague, Czech Republic, July 7, 2001, Revised Papers, pp. 160-174, 2001, Springer, 3-540-43091-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Multi-agent system, interaction, Decision Support System, dynamic systems, environment, transportation system |
32 | Diana Hecht, Constantine Katsinis |
Fault-Tolerant Distributed-Shared-Memory on a Broadcast-Based Interconnection Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: Parallel and Distributed Processing, 15 IPDPS 2000 Workshops, Cancun, Mexico, May 1-5, 2000, Proceedings, pp. 1286-1290, 2000, Springer, 3-540-67442-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
32 | Teresa Serrano-Gotarredona, Bernabé Linares-Barranco, Andreas G. Andreou |
Programmable Kernel Analog VLSI Convolution Chip for Real Time Vision Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN (4) ![In: Proceedings of the IEEE-INNS-ENNS International Joint Conference on Neural Networks, IJCNN 2000, Neural Computing: New Challenges and Perspectives for the New Millennium, Como, Italy, July 24-27, 2000, Volume 4, pp. 62-65, 2000, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Ana Carolina Olivera, Mariano Frutos, Jessica Andrea Carballido, Ignacio Ponzoni, Nélida Beatriz Brignole |
Bus Network Scheduling Problem: GRASP + EAs with PISA * Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN (1) ![In: Bio-Inspired Systems: Computational and Ambient Intelligence, 10th International Work-Conference on Artificial Neural Networks, IWANN 2009, Salamanca, Spain, June 10-12, 2009. Proceedings, Part I, pp. 1272-1279, 2009, Springer, 978-3-642-02477-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Memetic Evolutionary Algorithms, Bus-Network Scheduling Problem, PISA, Optimization |
31 | Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane |
Fast exploration of bus-based communication architectures at the CCATB abstraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 7(2), pp. 22:1-22:32, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
performance exploration, System-on-chip, transaction-level modeling, communication architecture, on-chip bus |
31 | Dirk Krechel, Markus Hartbauer |
The LENUS Master Patient Index: Combining Hospital Content Management with a Healthcare Service Bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBMS ![In: Proceedings of the Twenty-First IEEE International Symposium on Computer-Based Medical Systems, June 17-19, 2008, Jyväskylä, Finland, pp. 170-172, 2008, IEEE Computer Society, 978-0-7695-3165-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
master patient index, healthcare bus, enterprise content management |
31 | Günter Knittel |
Pipelined Bus-Invert Coding for FPGAs Driving High-Speed DDR-Channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Fifth International Conference on Information Technology: New Generations (ITNG 2008), 7-8 April 2008, Las Vegas, Nevada, USA, pp. 1131-1136, 2008, IEEE Computer Society, 978-0-7695-3099-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Bus-Invert Coding, Dual-Data-Rate, FPGA |
31 | Yi-Ting Lin, Wen-Chi Shiue, Ing-Jer Huang |
A multi-resolution AHB bus tracer for real-time compression of forward/backward traces in a circular buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 862-865, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
AMBA AHB, backward trace, bus tracer, circular buffer, forward trace, compression |
31 | Oto Pobiecky, Ivan Kotuliak, Daniel Popa, Tülin Atmaca, Gérard Hébuterne |
LOCOMOTIVE: A Hybrid Access Protocol for Bus-Based Passive Optical Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 15th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2007), October 24-26, 2007, Istanbul, Turkey, pp. 432-436, 2007, IEEE Computer Society, 978-1-4244-1854-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Asynchronous optical CSMA/CA, variable length packet, bandwidth fragmentation performance, shared bus |
31 | Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas |
Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 371-376, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling |
31 | Tarek Guesmi, Houria Rezig |
Design and implementation of a real-time notification service within the context of embedded ORB and the CAN bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006, pp. 773-777, 2006, ACM, 1-59593-108-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
embedded ORB, priority queuing, real-time CORBA, notification service, CAN bus |
31 | Mohammad Reza Selim, Takumi Endo, Yuichi Goto, Jingde Cheng |
A Comparative Study Between Soft System Bus and Traditional Middlewares. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Workshops (2) ![In: On the Move to Meaningful Internet Systems 2006: OTM 2006 Workshops, OTM Confederated International Workshops and Posters, AWeSOMe, CAMS, COMINF, IS, KSinBIT, MIOS-CIAO, MONET, OnToContent, ORM, PerSys, OTM Academy Doctoral Consortium, RDDS, SWWS, and SeBGIS 2006, Montpellier, France, October 29 - November 3, 2006. Proceedings, Part II, pp. 1264-1273, 2006, Springer, 3-540-48273-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Soft System Bus, Data/Instruction Station, Middleware |
31 | Donghai Li, Guang-Sheng Ma, Gang Feng |
Optimized Design of Interconnected Bus on Chip for Low Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMSCCS (2) ![In: Interdisciplinary and Multidisciplinary Research in Computer Science, IEEE CS Proceeding of the First International Multi-Symposium of Computer and Computational Sciences (IMSCCS|06), June 20-24, 2006, Zhejiang University, Hangzhou, China, Vol. 2, pp. 298-302, 2006, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
self transition, coupled transition, interconnected bus, power optimization |
31 | Sujan Pandey, Manfred Glesner |
Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 663-668, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
communication bus synthesis, voltage scaling |
31 | P. Subrahmanya, R. Manimegalai, V. Kamakoti 0001, Madhu Mutyam |
A Bus Encoding Technique for Power and Cross-talk Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 443-448, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Cross-talk, Limited Weight Codes, Transition Signalling, Encoding techniques, memoryless bus encoding, pipelining, Low Power Design |
31 | Vittorio Ricchiuti |
Power Bus Signal Integrity Improvement and EMI Mitigation on Multilayer High-Speed Digital PCBs with Embedded Capacitance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 2(4), pp. 314-321, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Embedded capacitance, power bus, power/ground layers, power supply decoupling, electric field strength, S-parameters |
31 | Vikram Iyengar, Krishnendu Chakrabarty |
Test Bus Sizing for System-on-a-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(5), pp. 449-459, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Core-based systems, integer linear programming, linearization, test access mechanism (TAM), testing time, embedded core testing, test bus |
31 | Michele Favalli, Cecilia Metra |
Single Output Distributed Two-Rail Checker with Diagnosing Capabilities for Bus Based Self-Checking Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 18(3), pp. 273-283, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
bus based systems, on-line testing, two-rail checker |
31 | Takao Onoye, Yukihiro Nakamura, Atsuhito Shigiya, Keishi Chikamura, Kosuke Tsujino, Tomonori Izumi, Hirofumi Yamamoto |
System-Level Design of IEEE1394 Bus Segment Bridge. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), October 2-4, 2002, Kyoto, Japan, pp. 74-79, 2002, ACM / IEEE Computer Society, 1-58113-576-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
HW/SW co-simulation, IEEE1394, PLI, bus bridge, C/C++, verilog-HDL |
31 | Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram |
ALBORZ: Address Level Bus Power Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002, pp. 470-475, 2002, IEEE Computer Society, 0-7695-1561-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Low power bus encoding, limited-weight codes, codebook-based codes |
31 | Michael M. Gorlick |
Electric Suspenders: A Fabric Power Bus and Data Network for Wearable Digital Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISWC ![In: Third International Symposium on Wearable Computers (ISWC 1999), San Francisco, California, USA, 18-19 October 1999, Proceedings., pp. 114-121, 1999, IEEE Computer Society, 0-7695-0428-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
conductive fabric, wearable power bus, PAN, personal area network |
31 | Horng-Ren Tsai, Shi-Jinn Horng, Shun-Shan Tsai, Tzong-Wann Kao, Shung-Shing Lee |
Solving an Algebraic Path Problem and Some Related Graph Problems on a Hyper-Bus Broadcast Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 8(12), pp. 1226-1235, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Hyper-bus broadcast network, matrix multiplication operation, minimum-weight spanning tree, parallel algorithm, graph theory, connectivity, transitive closure, connected component, bridge, biconnected component, all-pair shortest paths, articulation point, algebraic path problem |
31 | Hossam A. ElGindy, Arun K. Somani, Heiko Schröder 0001, Hartmut Schmeck, Andrew Spray |
RMB - A Reconfigurable Multiple Bus Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 108-117, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Reconfigurable Multiple Bus Network, Multiprocessor systems, Permutation Routing, Interconnection Structure |
31 | Shung-Shing Lee, Shi-Jinn Horng, Horng-Ren Tsai, Yu-Hua Lee |
Some Image Processing Algorithms on a RAP with Wider Bus Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, USA, pp. 708-715, 1996, IEEE Computer Society, 0-8186-7255-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
wider bus networks, reconfigurable array of processors, base-m number system, parallel algorithms, parallel algorithms, image processing, image segmentation, image segmentation, parallel architectures, multiprocessor interconnection networks, reconfigurable architectures, histogram, system buses, computation power, image processing algorithms, image labeling, constant time, RAP |
31 | Edward David Moreno Ordonez, Sergio Takeo Kofuji |
Performance evaluation of the fixed sequential prefetching on a bus-based multiprocessor: preliminary results. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1996 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '96), June 12-14, 1996, Beijing, China, pp. 487-493, 1996, IEEE Computer Society, 0-8186-7460-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
fixed sequential prefetching, bus-based multiprocessor, sequential prefetching, OBL policy, performance evaluation, performance evaluation, Petri nets, Petri nets, shared memory systems, shared memory systems, cache storage, data prefetching |
31 | Dean M. Tullsen, Susan J. Eggers |
Effective Cache Prefetching on Bus-Based Multiprocessors ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(1), pp. 57-88, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching |
31 | Jeffrey A. Floyd, Matt Perry |
Real-time on-board bus testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 140-151, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
on-board bus testing, wide buses, computer buses, board layout, full-fault testing, multiple speeds, pseudo-random pattern generation, characteristic equations, IEEE JTAG protocol, real-time systems, protocols, logic testing, automatic testing, system buses, operating environments, multiple seed, clock speeds |
31 | Renshen Wang, Evangeline F. Y. Young, Ronald L. Graham, Chung-Kuan Cheng |
Physical synthesis of bus matrix for high bandwidth low power on-chip communications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 91-96, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
wire efficiency, bandwidth, power efficiency |
31 | Khaled Z. Ibrahim, Smaïl Niar |
Power-Aware Bus Coscheduling for Periodic Realtime Applications Running on Multiprocessor SoC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. High Perform. Embed. Archit. Compil. ![In: Transactions on High-Performance Embedded Architectures and Compilers II, pp. 286-306, 2009, Springer, 978-3-642-00903-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Guido Diepen, J. M. van den Akker, J. A. Hoogeveen |
Integrated Gate and Bus Assignment at Amsterdam Airport Schiphol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Robust and Online Large-Scale Optimization ![In: Robust and Online Large-Scale Optimization: Models and Techniques for Transportation Systems, pp. 338-353, 2009, Springer, 978-3-642-05464-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
gate assigment, integrated planning, stabilized column generation, integer linear programming, column generation, airports |
31 | Hariharan Sankaran, Srinivas Katkoori |
On-chip dynamic worst-case crosstalk pattern detection and elimination for bus-based macro-cell designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 33-39, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Sujan Pandey, Rolf Drechsler |
Robust on-chip bus architecture synthesis for MPSoCs under random tasks arrival. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 601-606, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Charbel J. Akl, Magdy A. Bayoumi |
Cost-effective and low-power memory address bus encodings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 2010-2013, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye |
Delay and Energy Efficient Design of On-Chip Encoded Bus with Repeaters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India, pp. 377-382, 2008, IEEE Computer Society, 0-7695-3083-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Wen-Wen Hsieh, Po-Yuan Chen, Chun-Yao Wang, TingTing Hwang |
A Bus-Encoding Scheme for Crosstalk Elimination in High-Performance Processor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(12), pp. 2222-2227, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Colin D'Souza, Byung Hwa Kim, Richard M. Voyles |
Morphing Bus: A rapid deployment computing architecture for high performance, resource-constrained robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 311-316, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Jakob Rosen, Alexandru Andrei, Petru Eles, Zebo Peng |
Bus Access Optimization for Predictable Implementation of Real-Time Applications on Multiprocessor Systems-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 28th IEEE Real-Time Systems Symposium (RTSS 2007), 3-6 December 2007, Tucson, Arizona, USA, pp. 49-60, 2007, IEEE Computer Society, 0-7695-3062-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Zhiming Zhao, Adam Belloum, Cees T. A. M. de Laat, Pieter W. Adriaans, Bob Hertzberger |
Using Jade agent framework to prototype an e-Science workflow bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: Seventh IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2007), 14-17 May 2007, Rio de Janeiro, Brazil, pp. 655-660, 2007, IEEE Computer Society, 0-7695-2833-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Arash Ahmadi, Mark Zwolinski |
Multiple-Width Bus Partitioning Approach to Datapath Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 2994-2997, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Daniele Rossi 0001, Carlo Steiner, Cecilia Metra |
Analysis of the impact of bus implemented EDCs on on-chip SSN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 59-64, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Alexander Khitun, Kang L. Wang |
Nano Logic Circuits with Spin Wave Bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Third International Conference on Information Technology: New Generations (ITNG 2006), 10-12 April 2006, Las Vegas, Nevada, USA, pp. 747-752, 2006, IEEE Computer Society, 0-7695-2497-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
logic devices, Spintronics, spin waves |
31 | Kwang-Il Oh, Seunghyun Cho, Lee-Sup Kim |
A low power SoC bus with low-leakage and low-swing technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Erno Salminen, Tero Kangas, Jouni Riihimäki, Vesa Lahtinen, Kimmo Kuusilinna, Timo D. Hämäläinen |
Benchmarking Mesh and Hierarchical Bus Networks in System-on-Chip Context. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation 5th International Workshop, SAMOS 2005, Samos, Greece, July 18-20, 2005, Proceedings, pp. 354-363, 2005, Springer, 3-540-26969-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Masaru Takesue |
The Psi-Cube: A Bus-Based Cube-Type Network for High-Performance On-Chip Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 34th International Conference on Parallel Processing Workshops (ICPP 2005 Workshops), 14-17 June 2005, Oslo, Norway, pp. 539-546, 2005, IEEE Computer Society, 0-7695-2381-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Rung-Bin Lin |
Coupling reduction analysis of bus-invert coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (6) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 5862-5865, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Guillaume Gasser, Nathaniel D. Bird, Osama Masoud, Nikolaos Papanikolopoulos |
Human Activities Monitoring at Bus Stops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: Proceedings of the 2004 IEEE International Conference on Robotics and Automation, ICRA 2004, April 26 - May 1, 2004, New Orleans, LA, USA, pp. 90-95, 2004, IEEE. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Nattawut Thepayasuwan, Alex Doboli |
OSIRIS: Automated Synthesis of Flat and Hierarchical Bus Architectures for Deep Submicron Systems on Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), Emerging Trends in VLSI Systems Design, 19-20 February 2004, Lafayette, LA, USA, pp. 264-265, 2004, IEEE Computer Society, 0-7695-2097-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Nikolaos D. Liveris, Prithviraj Banerjee |
Power Aware Interface Synthesis for Bus-Based SoC Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 864-869, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Liang Deng, Martin D. F. Wong |
Optimal Algorithm for Minimizing the Number of Twists in an On-Chip Bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 1104-1109, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Kenichiro Anjo, Yutaka Yamada, Michihiro Koibuchi, Akiya Jouraku, Hideharu Amano |
BLACK-BUS: A New Data-Transfer Technique Using Local Address on Networks-on-Chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Network-on-a-Chip, table-lookup routing, interconnection networks, Systems-on-a-Chip, streaming processing, on-chip interconnect, deterministic routing |
31 | Bongsoo Son, Hyung Jin Kim, Chi-Hyun Shin, Sang-Keon Lee |
Bus Arrival Time Prediction Method for ITS Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES ![In: Knowledge-Based Intelligent Information and Engineering Systems, 8th International Conference, KES 2004, Wellington, New Zealand, September 20-25, 2004. Proceedings. Part III, pp. 88-94, 2004, Springer, 3-540-23205-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Taehyung Park, Sangkeon Lee, Young-Jun Moon |
Real Time Estimation of Bus Arrival Time under Mobile Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part I, pp. 1088-1096, 2004, Springer, 3-540-22054-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Jiamin Zhao, Satish T. S. Bukkapatnam, Maged M. Dessouky |
Distributed architecture for real-time coordination of bus holding in transit networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Intell. Transp. Syst. ![In: IEEE Trans. Intell. Transp. Syst. 4(1), pp. 43-51, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Osamu Ogawa, Sylvain Bayon de Noyer, Pascal Chauvet, Katsuya Shinohara, Yoshiharu Watanabe, Hiroshi Niizuma, Takayuki Sasaki, Yuji Takai |
A Practical Approach for Bus Architecture Optimization at Transaction Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 20176-20181, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Michela Bertolotto, Gregory M. P. O'Hare, Robin Strahan, Ailish Brophy, Alan N. Martin, Eoin McLoughlin |
Bus Catcher: a Context Sensitive Prototype System for Public Transportation Users. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WISE Workshops ![In: 3rd International Conference on Web Information Systems Engineering Workshops, WISE 2002 Workshops, Singapore, December 11, 2002, Proceedings, pp. 64-72, 2002, IEEE Computer Society, 0-7695-1813-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Claudia Kretzschmar, Robert Siegmund, Dietmar Müller 0001 |
A Low Overhead Auto-Optimizing Bus Encoding Scheme for Low Power Data Transmission. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation, 12th International Workshop, PATMOS 2002, Seville, Spain, September 11-13, 2002, pp. 342-352, 2002, Springer, 3-540-44143-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Huifen Chen |
Stochastic Optimization in Computing Multiple Headways for a Single Bus Line. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 35th Annual Simulation Symposium (ANSS-35 2002), San Diego, California, USA, 14-18 April 2002, pp. 316-323, 2002, IEEE Computer Society, 0-7695-1552-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Flexible Tolerance Method, Multiple Headways, Retrospective Approximation, Retrospective Optimization, Stochastic Optimization |
31 | Desmond Rainsford, William A. Mackaness |
Mobile Journey Planning for Bus Passengers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GIScience ![In: Geographic Information Science, Second International Conference, GIScience 2002, Boulder, CO, USA, September 25-28, 2002, Proceedings, pp. 228-242, 2002, Springer, 3-540-44253-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Rung-Bin Lin, Chi-Ming Tsai |
Weight-Based Bus-Invert Coding for Low-Power Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 121-125, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Youngsoo Shin, Kiyoung Choi, Young-Hoon Chang |
Narrow bus encoding for low-power DSP systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 9(5), pp. 656-660, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Savio N. Chau, Joseph Smith, Ann T. Tai |
A Design-Diversity Based Fault-Tolerant COTS Avionics Bus Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 8th Pacific Rim International Symposium on Dependable Computing (PRDC 2001), 17-19 December 2001, Seoul, Korea, pp. 35-44, 2001, IEEE Computer Society, 0-7695-1414-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Jin-Hua Hong, Chung-Hung Tsai, Cheng-Wen Wu |
Hierarchical system test by an IEEE 1149.5 MTM-bus slave-module interface core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 8(5), pp. 503-516, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Michael O. Sweeney |
BUS: A Browser Based User Interface Service for Web Based Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AUIC ![In: 1st Australasian User Interface Conference (AUIC 2000), 31 January - 3 February 2000, Canberra, Australia, pp. 103-109, 2000, IEEE Computer Society, 0-7695-0515-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
user interface service, user interface language, XML, web application, web engineering, web architecture |
31 | Shinya Ishihara, Seiichiro Tani, Atsushi Takahara |
Virtual BUS: A Simple Implementation of an Effortless Networking System Based on PVM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 6th European PVM/MPI Users' Group Meeting, Barcelona, Spain, September 26-29, 1999, Proceedings, pp. 461-468, 1999, Springer, 3-540-66549-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Kanishka Lahiri, Anand Raghunathan, Sujit Dey |
Fast performance analysis of bus-based system-on-chip communication architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999, pp. 566-573, 1999, IEEE Computer Society, 0-7803-5832-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Atsushi Takahara, Seiichiro Tani, Shinya Ishihara, Toshiaki Miyazaki, Mitsuo Teramoto, Tomoo Fukazawa, Kazuyoshi Matsuhiro |
Virtual BUS: An Easy-to-Use Environment for Distributed Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 26th Conference on Local Computer Networks, Lowell, Massachusetts, USA, 17-20 October, 1999, pp. 62-70, 1999, IEEE Computer Society, 0-7695-0309-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Rakesh D. Barve, Elizabeth A. M. Shriver, Phillip B. Gibbons, Bruce Hillyer, Yossi Matias, Jeffrey Scott Vitter |
Modeling and Optimizing I/O Throughput of Multiple Disks on a Bus (Summary). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1998 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, SIGMETRICS '98 / PERFORMANCE '98, Madison, Wisconsin, USA, June 22-26, 1998, pp. 264-265, 1998, ACM, 0-89791-982-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
Displaying result #201 - #300 of 14699 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|