The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase PI-Bus (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1977 (16) 1978-1979 (17) 1980-1981 (28) 1982 (33) 1983 (25) 1984 (26) 1985 (37) 1986 (37) 1987 (35) 1988 (64) 1989 (54) 1990 (65) 1991 (60) 1992 (72) 1993 (80) 1994 (88) 1995 (107) 1996 (115) 1997 (121) 1998 (149) 1999 (172) 2000 (213) 2001 (187) 2002 (232) 2003 (376) 2004 (447) 2005 (516) 2006 (600) 2007 (687) 2008 (606) 2009 (607) 2010 (532) 2011 (539) 2012 (514) 2013 (543) 2014 (544) 2015 (556) 2016 (532) 2017 (614) 2018 (595) 2019 (709) 2020 (732) 2021 (797) 2022 (720) 2023 (712) 2024 (188)
Publication types (Num. hits)
article(9767) book(4) data(19) incollection(20) inproceedings(4838) phdthesis(51)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4167 occurrences of 2110 keywords

Results
Found 14725 publication records. Showing 14699 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
36Kei Hirose, Hiroto Yasuura A Bus Delay Reduction Technique Considering Crosstalk. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36A. V. Hariharakrishnan, Simon Johney Validation Platform for a P1394a OHCI-Link Layer Synthesizable Core (With a PCI Bus Interface). Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Vijay Sundararajan, Keshab K. Parhi Reducing bus transition activity by limited weight coding with codeword slimming. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Marios G. Scottis, Marwan Krunz, Max M.-K. Liu Enhancing the PCI bus to support real-time streams. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Constantine Katsinis Performance Analysis and Simulation of the SOME-Bus Architecture Using Message Passing. Search on Bibsonomy ICCCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF simulation, performance analysis, Interconnection networks
36Masafumi Takahashi, Hiroyuki Takano, Emi Kaneko, Seigo Suzuki A Shared-Bus Control Mechanism and a Cache Coherence Protocol for a High-Performance On-Chip Multiprocessor. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
36Biswanath Mukherjee Performance of a Dual-Bus Unidirectional Broadcast Network Operating Under a Probabilistic Scheduling Strategy. Search on Bibsonomy SIGMETRICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
36Susan J. Eggers, Randy H. Katz The Effect of Sharing on the Cache and Bus Performance of Parallel Programs. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
35Satoshi Komatsu, Masahiro Fujita An optimization of bus interconnects pitch for low-power and reliable bus encoding scheme. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Deni Torres, J. Gonzalez, Manuel Guzman, L. Nuñez A new bus assignment in a designed shared bus switch fabric. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Joel Coburn, Srivaths Ravi 0001, Anand Raghunathan, Srimat T. Chakradhar SECA: security-enhanced communication architecture. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AMBA Bus, security-aware design, small embedded systems, security, communication, access control, architecture, intrusion detection, system-on-chip (SoC), attacks, bus, digital rights management (DRM)
33Pi-Rong Sheu, Charng-Maw Lin A fast optimal slot reuse scheme for CRMA high speed networks. Search on Bibsonomy LCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CRMA high speed networks, cyclic-reservation multiple-access, fast optimal slot reuse scheme, high-speed local area networks, high-speed metropolitan area networks, folded-bus configurations, dual-bus configurations, reserve command generation, empty slots reservation, average cycle length, average throughput, average MAC delay, performance measurements, time complexity, computer simulations, NP-complete problem, metropolitan area networks, access delay
32Ying Zhang 0040, Huawei Li 0001, Xiaowei Li 0001, Yu Hu 0001 Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Codeword Selection, Crosstalk Avoidance, Reliable Bus
32Dimitrios Lymberopoulos, Nissanka Bodhi Priyantha, Feng Zhao 0001 mPlatform: a reconfigurable architecture and efficient data sharing mechanism for modular sensor nodes. Search on Bibsonomy IPSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high speed data bus, reconfigurable sensor node, CPLD, modular architecture
32Srinivasa R. Sridhara, Naresh R. Shanbhag Coding for system-on-chip networks: a unified framework. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bus coding, crosstalk avoidance, low-power, error-correcting codes, low-swing
32Chingren Lee, Jenq Kuen Lee, TingTing Hwang, Shi-Chun Tsai Compiler optimization on VLIW instruction scheduling for low power. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLIW instruction scheduling, instruction bus optimizations, low-power optimization, Compilers
32Michael Gasteier, Manfred Glesner Bus-based communication synthesis on system level. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus generation, bus without arbitration, statically scheduled systems, transfer scheduling, communication synthesis
32Yean-Shiang Leu, David Hung-Chang Du Cycle Compensation Protocol: A Fair Protocol for the Unidirectional Twin-Bus Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cycle compensation protocol, fair protocol, unidirectional twin-bus architecture, IEEE 802.6 Standard, Distributed Queue Dual Bus, channel bandwidth, unfairness problem, protocols, standards, local area networks, metropolitan area networks, metropolitan area networks, channel utilization
32Jie-Yong Juang, Benjamin W. Wah A Contention-Based Bus-Control Scheme for Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF bus-control schemes, scheduling processors, scheduling, computational complexity, multiprocessor interconnection networks, multiprocessing systems, contention-based, bit-parallel, shared bus
32Giovanni Chiola, Marco Ajmone Marsan, Gianfranco Balbo Product-Form Solution Techniques for the Performance Analysis of Multiple-Bus Multiprocessor Systems with Nonuniform Memory References. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF local balance property, multiple-bus multiprocessor systems, nonuniform memory references, steady-state probability distribution, queuing models with passive resources, recursive solution, processor access rates, memory selection probabilities, first-come-first-served bus scheduling policy, scheduling, performance evaluation, performance analysis, multiprocessor interconnection networks, queueing theory, numerical analysis, product-form solution, exact computation
32Haklin Kimm, Sung Y. Shin, Ho-sang Ham, Chang Oan Sung Failure management development for integrated automotive safety-critical software systems. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Zhichun Zhu Decoupled DIMM: building high-bandwidth memory system using low-speed DRAM devices. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bandwidth decoupling, decoupled DIMM, DRAM memories
32Gunar Schirner, Rainer Dömer Quantitative analysis of the speed/accuracy trade-off in transaction level modeling. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system-on-chip, System level design, transaction level modeling
32T. Venkata Kalyan, Madhu Mutyam, Vijaya Sankara Rao Pasupureddi Exploiting Variable Cycle Transmission for Energy-Efficient On-Chip Interconnect Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Prassanna Sithambaram, Alberto Macii, Enrico Macii New Adaptive Encoding Schemes for Switching Activity Balancing in On-Chip Buses. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32M. Omar Faruque Sarker, ChangHwan Kim, Seungheon Back, Bum-Jae You An IEEE-1394 Based Real-time Robot Control System for Efficient Controlling of Humanoids. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Federico Rota, Shantanu Dutt, Sahithi Krishna Off-Chip Control Flow Checking of On-Chip Processor-Cache Instruction Stream. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Himanshu Kaul, Dennis Sylvester, Mark A. Anders 0001, Ram Krishnamurthy 0001 Design and analysis of spatial encoding circuits for peak power reduction in on-chip buses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Shang-Wei Tu, Jing-Yang Jou, Yao-Wen Chang RLC coupling-aware simulation for on-chip buses and their encoding for delay reduction. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Akira Mochizuki, Takashi Takeuchi, Takahiro Hanyu Intra-Chip Address-Presetting Data-Transfer Scheme Using Four-Valued Encoding. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Himanshu Kaul, Dennis Sylvester, Mark A. Anders 0001, Ram Krishnamurthy 0001 Spatial encoding circuit techniques for peak power reduction of on-chip high-performance buses. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32E. Malley, Ariel Salinas, Kareem Ismail, Lawrence T. Pileggi Power Comparison of Throughput Optimized IC Busses. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32K. Basu, Alok N. Choudhary, Jayaprakash Pisharath, Mahmut T. Kandemir Power protocol: reducing power dissipation on off-chip data buses. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Flavien Balbo, Suzanne Pinson Toward a Multi-agent Modelling Approach for Urban Public Transportation Systems. Search on Bibsonomy ESAW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Multi-agent system, interaction, Decision Support System, dynamic systems, environment, transportation system
32Diana Hecht, Constantine Katsinis Fault-Tolerant Distributed-Shared-Memory on a Broadcast-Based Interconnection Network. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Teresa Serrano-Gotarredona, Bernabé Linares-Barranco, Andreas G. Andreou Programmable Kernel Analog VLSI Convolution Chip for Real Time Vision Processing. Search on Bibsonomy IJCNN (4) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Ana Carolina Olivera, Mariano Frutos, Jessica Andrea Carballido, Ignacio Ponzoni, Nélida Beatriz Brignole Bus Network Scheduling Problem: GRASP + EAs with PISA * Simulation. Search on Bibsonomy IWANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Memetic Evolutionary Algorithms, Bus-Network Scheduling Problem, PISA, Optimization
31Sudeep Pasricha, Nikil D. Dutt, Mohamed Ben-Romdhane Fast exploration of bus-based communication architectures at the CCATB abstraction. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance exploration, System-on-chip, transaction-level modeling, communication architecture, on-chip bus
31Dirk Krechel, Markus Hartbauer The LENUS Master Patient Index: Combining Hospital Content Management with a Healthcare Service Bus. Search on Bibsonomy CBMS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF master patient index, healthcare bus, enterprise content management
31Günter Knittel Pipelined Bus-Invert Coding for FPGAs Driving High-Speed DDR-Channels. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bus-Invert Coding, Dual-Data-Rate, FPGA
31Yi-Ting Lin, Wen-Chi Shiue, Ing-Jer Huang A multi-resolution AHB bus tracer for real-time compression of forward/backward traces in a circular buffer. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF AMBA AHB, backward trace, bus tracer, circular buffer, forward trace, compression
31Oto Pobiecky, Ivan Kotuliak, Daniel Popa, Tülin Atmaca, Gérard Hébuterne LOCOMOTIVE: A Hybrid Access Protocol for Bus-Based Passive Optical Networks. Search on Bibsonomy MASCOTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Asynchronous optical CSMA/CA, variable length packet, bandwidth fragmentation performance, shared bus
31Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling
31Tarek Guesmi, Houria Rezig Design and implementation of a real-time notification service within the context of embedded ORB and the CAN bus. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded ORB, priority queuing, real-time CORBA, notification service, CAN bus
31Mohammad Reza Selim, Takumi Endo, Yuichi Goto, Jingde Cheng A Comparative Study Between Soft System Bus and Traditional Middlewares. Search on Bibsonomy OTM Workshops (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Soft System Bus, Data/Instruction Station, Middleware
31Donghai Li, Guang-Sheng Ma, Gang Feng Optimized Design of Interconnected Bus on Chip for Low Power. Search on Bibsonomy IMSCCS (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self transition, coupled transition, interconnected bus, power optimization
31Sujan Pandey, Manfred Glesner Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF communication bus synthesis, voltage scaling
31P. Subrahmanya, R. Manimegalai, V. Kamakoti 0001, Madhu Mutyam A Bus Encoding Technique for Power and Cross-talk Minimization. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cross-talk, Limited Weight Codes, Transition Signalling, Encoding techniques, memoryless bus encoding, pipelining, Low Power Design
31Vittorio Ricchiuti Power Bus Signal Integrity Improvement and EMI Mitigation on Multilayer High-Speed Digital PCBs with Embedded Capacitance. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Embedded capacitance, power bus, power/ground layers, power supply decoupling, electric field strength, S-parameters
31Vikram Iyengar, Krishnendu Chakrabarty Test Bus Sizing for System-on-a-Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Core-based systems, integer linear programming, linearization, test access mechanism (TAM), testing time, embedded core testing, test bus
31Michele Favalli, Cecilia Metra Single Output Distributed Two-Rail Checker with Diagnosing Capabilities for Bus Based Self-Checking Architectures. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bus based systems, on-line testing, two-rail checker
31Takao Onoye, Yukihiro Nakamura, Atsuhito Shigiya, Keishi Chikamura, Kosuke Tsujino, Tomonori Izumi, Hirofumi Yamamoto System-Level Design of IEEE1394 Bus Segment Bridge. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF HW/SW co-simulation, IEEE1394, PLI, bus bridge, C/C++, verilog-HDL
31Yazdan Aghaghiri, Farzan Fallah, Massoud Pedram ALBORZ: Address Level Bus Power Optimization. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Low power bus encoding, limited-weight codes, codebook-based codes
31Michael M. Gorlick Electric Suspenders: A Fabric Power Bus and Data Network for Wearable Digital Devices. Search on Bibsonomy ISWC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF conductive fabric, wearable power bus, PAN, personal area network
31Horng-Ren Tsai, Shi-Jinn Horng, Shun-Shan Tsai, Tzong-Wann Kao, Shung-Shing Lee Solving an Algebraic Path Problem and Some Related Graph Problems on a Hyper-Bus Broadcast Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Hyper-bus broadcast network, matrix multiplication operation, minimum-weight spanning tree, parallel algorithm, graph theory, connectivity, transitive closure, connected component, bridge, biconnected component, all-pair shortest paths, articulation point, algebraic path problem
31Hossam A. ElGindy, Arun K. Somani, Heiko Schröder 0001, Hartmut Schmeck, Andrew Spray RMB - A Reconfigurable Multiple Bus Network. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Reconfigurable Multiple Bus Network, Multiprocessor systems, Permutation Routing, Interconnection Structure
31Shung-Shing Lee, Shi-Jinn Horng, Horng-Ren Tsai, Yu-Hua Lee Some Image Processing Algorithms on a RAP with Wider Bus Networks. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF wider bus networks, reconfigurable array of processors, base-m number system, parallel algorithms, parallel algorithms, image processing, image segmentation, image segmentation, parallel architectures, multiprocessor interconnection networks, reconfigurable architectures, histogram, system buses, computation power, image processing algorithms, image labeling, constant time, RAP
31Edward David Moreno Ordonez, Sergio Takeo Kofuji Performance evaluation of the fixed sequential prefetching on a bus-based multiprocessor: preliminary results. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fixed sequential prefetching, bus-based multiprocessor, sequential prefetching, OBL policy, performance evaluation, performance evaluation, Petri nets, Petri nets, shared memory systems, shared memory systems, cache storage, data prefetching
31Dean M. Tullsen, Susan J. Eggers Effective Cache Prefetching on Bus-Based Multiprocessors Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching
31Jeffrey A. Floyd, Matt Perry Real-time on-board bus testing. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF on-board bus testing, wide buses, computer buses, board layout, full-fault testing, multiple speeds, pseudo-random pattern generation, characteristic equations, IEEE JTAG protocol, real-time systems, protocols, logic testing, automatic testing, system buses, operating environments, multiple seed, clock speeds
31Renshen Wang, Evangeline F. Y. Young, Ronald L. Graham, Chung-Kuan Cheng Physical synthesis of bus matrix for high bandwidth low power on-chip communications. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF wire efficiency, bandwidth, power efficiency
31Khaled Z. Ibrahim, Smaïl Niar Power-Aware Bus Coscheduling for Periodic Realtime Applications Running on Multiprocessor SoC. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Guido Diepen, J. M. van den Akker, J. A. Hoogeveen Integrated Gate and Bus Assignment at Amsterdam Airport Schiphol. Search on Bibsonomy Robust and Online Large-Scale Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gate assigment, integrated planning, stabilized column generation, integer linear programming, column generation, airports
31Hariharan Sankaran, Srinivas Katkoori On-chip dynamic worst-case crosstalk pattern detection and elimination for bus-based macro-cell designs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Sujan Pandey, Rolf Drechsler Robust on-chip bus architecture synthesis for MPSoCs under random tasks arrival. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Charbel J. Akl, Magdy A. Bayoumi Cost-effective and low-power memory address bus encodings. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye Delay and Energy Efficient Design of On-Chip Encoded Bus with Repeaters. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Wen-Wen Hsieh, Po-Yuan Chen, Chun-Yao Wang, TingTing Hwang A Bus-Encoding Scheme for Crosstalk Elimination in High-Performance Processor Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Colin D'Souza, Byung Hwa Kim, Richard M. Voyles Morphing Bus: A rapid deployment computing architecture for high performance, resource-constrained robots. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Jakob Rosen, Alexandru Andrei, Petru Eles, Zebo Peng Bus Access Optimization for Predictable Implementation of Real-Time Applications on Multiprocessor Systems-on-Chip. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Zhiming Zhao, Adam Belloum, Cees T. A. M. de Laat, Pieter W. Adriaans, Bob Hertzberger Using Jade agent framework to prototype an e-Science workflow bus. Search on Bibsonomy CCGRID The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Arash Ahmadi, Mark Zwolinski Multiple-Width Bus Partitioning Approach to Datapath Synthesis. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Daniele Rossi 0001, Carlo Steiner, Cecilia Metra Analysis of the impact of bus implemented EDCs on on-chip SSN. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Alexander Khitun, Kang L. Wang Nano Logic Circuits with Spin Wave Bus. Search on Bibsonomy ITNG The full citation details ... 2006 DBLP  DOI  BibTeX  RDF logic devices, Spintronics, spin waves
31Kwang-Il Oh, Seunghyun Cho, Lee-Sup Kim A low power SoC bus with low-leakage and low-swing technique. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Erno Salminen, Tero Kangas, Jouni Riihimäki, Vesa Lahtinen, Kimmo Kuusilinna, Timo D. Hämäläinen Benchmarking Mesh and Hierarchical Bus Networks in System-on-Chip Context. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Masaru Takesue The Psi-Cube: A Bus-Based Cube-Type Network for High-Performance On-Chip Systems. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Rung-Bin Lin Coupling reduction analysis of bus-invert coding. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Guillaume Gasser, Nathaniel D. Bird, Osama Masoud, Nikolaos Papanikolopoulos Human Activities Monitoring at Bus Stops. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Nattawut Thepayasuwan, Alex Doboli OSIRIS: Automated Synthesis of Flat and Hierarchical Bus Architectures for Deep Submicron Systems on Chip. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Nikolaos D. Liveris, Prithviraj Banerjee Power Aware Interface Synthesis for Bus-Based SoC Design. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Liang Deng, Martin D. F. Wong Optimal Algorithm for Minimizing the Number of Twists in an On-Chip Bus. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Kenichiro Anjo, Yutaka Yamada, Michihiro Koibuchi, Akiya Jouraku, Hideharu Amano BLACK-BUS: A New Data-Transfer Technique Using Local Address on Networks-on-Chips. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Network-on-a-Chip, table-lookup routing, interconnection networks, Systems-on-a-Chip, streaming processing, on-chip interconnect, deterministic routing
31Bongsoo Son, Hyung Jin Kim, Chi-Hyun Shin, Sang-Keon Lee Bus Arrival Time Prediction Method for ITS Application. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Taehyung Park, Sangkeon Lee, Young-Jun Moon Real Time Estimation of Bus Arrival Time under Mobile Environment. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Jiamin Zhao, Satish T. S. Bukkapatnam, Maged M. Dessouky Distributed architecture for real-time coordination of bus holding in transit networks. Search on Bibsonomy IEEE Trans. Intell. Transp. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Osamu Ogawa, Sylvain Bayon de Noyer, Pascal Chauvet, Katsuya Shinohara, Yoshiharu Watanabe, Hiroshi Niizuma, Takayuki Sasaki, Yuji Takai A Practical Approach for Bus Architecture Optimization at Transaction Level. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Michela Bertolotto, Gregory M. P. O'Hare, Robin Strahan, Ailish Brophy, Alan N. Martin, Eoin McLoughlin Bus Catcher: a Context Sensitive Prototype System for Public Transportation Users. Search on Bibsonomy WISE Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Claudia Kretzschmar, Robert Siegmund, Dietmar Müller 0001 A Low Overhead Auto-Optimizing Bus Encoding Scheme for Low Power Data Transmission. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Huifen Chen Stochastic Optimization in Computing Multiple Headways for a Single Bus Line. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Flexible Tolerance Method, Multiple Headways, Retrospective Approximation, Retrospective Optimization, Stochastic Optimization
31Desmond Rainsford, William A. Mackaness Mobile Journey Planning for Bus Passengers. Search on Bibsonomy GIScience The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Rung-Bin Lin, Chi-Ming Tsai Weight-Based Bus-Invert Coding for Low-Power Applications. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Youngsoo Shin, Kiyoung Choi, Young-Hoon Chang Narrow bus encoding for low-power DSP systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Savio N. Chau, Joseph Smith, Ann T. Tai A Design-Diversity Based Fault-Tolerant COTS Avionics Bus Network. Search on Bibsonomy PRDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Jin-Hua Hong, Chung-Hung Tsai, Cheng-Wen Wu Hierarchical system test by an IEEE 1149.5 MTM-bus slave-module interface core. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Michael O. Sweeney BUS: A Browser Based User Interface Service for Web Based Applications. Search on Bibsonomy AUIC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF user interface service, user interface language, XML, web application, web engineering, web architecture
31Shinya Ishihara, Seiichiro Tani, Atsushi Takahara Virtual BUS: A Simple Implementation of an Effortless Networking System Based on PVM. Search on Bibsonomy PVM/MPI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Kanishka Lahiri, Anand Raghunathan, Sujit Dey Fast performance analysis of bus-based system-on-chip communication architectures. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Atsushi Takahara, Seiichiro Tani, Shinya Ishihara, Toshiaki Miyazaki, Mitsuo Teramoto, Tomoo Fukazawa, Kazuyoshi Matsuhiro Virtual BUS: An Easy-to-Use Environment for Distributed Resources. Search on Bibsonomy LCN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Rakesh D. Barve, Elizabeth A. M. Shriver, Phillip B. Gibbons, Bruce Hillyer, Yossi Matias, Jeffrey Scott Vitter Modeling and Optimizing I/O Throughput of Multiple Disks on a Bus (Summary). Search on Bibsonomy SIGMETRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 14699 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license