The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SPARC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1982 (16) 1983-1988 (16) 1989-1991 (17) 1992 (16) 1993-1994 (19) 1995 (30) 1996 (16) 1997 (15) 1998-1999 (20) 2000 (20) 2001-2002 (18) 2003 (16) 2004-2005 (35) 2006 (18) 2007 (23) 2008 (17) 2009-2010 (17) 2011-2013 (15) 2014-2015 (15) 2016-2018 (17) 2019-2021 (19) 2022-2024 (9)
Publication types (Num. hits)
article(110) book(2) incollection(1) inproceedings(289) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 377 occurrences of 295 keywords

Results
Found 404 publication records. Showing 404 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Stefan Tillich, Johann Großschädl Power Analysis Resistant AES Implementation with Instruction Set Extensions. Search on Bibsonomy CHES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded RISC processor, SPARC V8 architecture, SCA resistance, Advanced Encryption Standard, power analysis, instruction set extensions
20Hisashige Ando, Yuuji Yoshida, Aiichiro Inoue, Itsumi Sugiyama, Takeo Asakawa, Kuniki Morita, Toshiyuki Muta, Tsuyoshi Motokurumada, Seishi Okada, Hideo Yamashita, Yoshihiko Satsukawa, Akihiko Konmoto, Ryouichi Yamashita, Hiroyuki Sugiyama A 1.3GHz fifth generation SPARC64 microprocessor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF unix server, reliability, microprocessor, microarchitecture, SPARC, clock distribution
20Andreas Koch A Comprehensive Prototyping-Platform for Hardware-Software Codesign. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hybrid processor, RTEMS, Virtex, FPGA, prototyping, codesign, SPARC, Xilinx
20H. A. Xie, Kevin E. Forward, K. M. Adams, Suthikshn Kumar An SBus Multi-Tracer and its applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SBus Multi Tracer, SBus monitoring board, logic analyzer, bus analyzer, trace length, board memory, multi occurrences, trigger patterns, multiple partitions, tracing memory, systematic timing information, pattern occurrences, triggering patterns, SUN SPARC station, field programmable gate arrays, Field Programmable Gate Array, FPGA, logic testing, automatic test equipment, system buses, timing diagrams, computerised monitoring
20Seungjoon Park, David L. Dill An Executable Specification, Analyzer and Verifier for RMO (Relaxed Memory Order). Search on Bibsonomy SPAA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SPARC
20Robert H. B. Netzer, Mark H. Weaver Optimal Tracing and Incremental Reexecution for Debugging Long-Running Programs. Search on Bibsonomy PLDI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF DEBUG, SPARC
20Peter M. Chen, David A. Patterson 0001 A New Approach to I/O Performance Evaluation - Self-Scaling I/O Benchmarks, Predicted I/O Performance. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF SPARC
20Hans-Juergen Boehm Space Efficient Conservative Garbage Collection. Search on Bibsonomy PLDI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF C, SPARC, Cedar
20Koray Öner, Michel Dubois 0001 Effects of Memory Latencies on Non-Blocking Processor/Cache Architectures. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF SPARC
20Peter Steenkiste Analyzing Communication Latency Using the Nectar Communication Processor. Search on Bibsonomy SIGCOMM The full citation details ... 1992 DBLP  DOI  BibTeX  RDF SPARC
20Paul F. Reynolds Jr., Carmen M. Pancerella, Sudhir Srinivasan Making Parallel Simulations go Fast. Search on Bibsonomy WSC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF SPARC
20Eric K. Clemons Design of an External Schema Facility to Define and Process Recursive Structures. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1981 DBLP  DOI  BibTeX  RDF ANSI SPARC architectures, external schemata, recursive data structures, user views
20Ramez Elmasri, Gio Wiederhold Data Model Integration Using the Structural Model. Search on Bibsonomy SIGMOD Conference The full citation details ... 1979 DBLP  DOI  BibTeX  RDF ansi/sparc dbms architecture, conceptual and external schema, data model integration, entity classes and relationships, logical database design, relational model, structural model, data semantics
11Stephan Aier, Robert Winter 0001 Virtual Decoupling for IT/Business Alignment - Conceptual Foundations, Architecture Design and Implementation Example. Search on Bibsonomy Bus. Inf. Syst. Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ] Integration, IT/business alignment, Decoupling
11Mehrdad Reshadi, Prabhat Mishra 0001, Nikil D. Dutt Hybrid-compiled simulation: An efficient technique for instruction-set architecture simulation. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interpretive simulation, partial evaluation, instruction set architecture, Compiled simulation
11Stephan Aier, Robert Winter 0001 Virtuelle Entkopplung von fachlichen und IT-Strukturen für das IT/Business Alignment - Grundlagen, Architekturgestaltung und Umsetzung am Beispiel der Domänenbildung. Search on Bibsonomy Wirtschaftsinf. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF IT/business alignment, Integration, Decoupling
11William N. Scherer III, Doug Lea, Michael L. Scott Scalable synchronous queues. Search on Bibsonomy Commun. ACM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Ping Chen 0003, Hai Xiao, Xiaobin Shen 0001, Xinchun Yin, Bing Mao, Li Xie 0001 DROP: Detecting Return-Oriented Programming Malicious Code. Search on Bibsonomy ICISS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Matthew D. Allen, Srinath Sridharan, Gurindar S. Sohi Serialization sets: a dynamic dependence-based parallel execution model. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF serialization sets, parallel computing, runtime system, serializer
11Jonathan D. Ellithorpe, Zhangxi Tan, Randy H. Katz Internet-in-a-Box: emulating datacenter network architectures using FPGAs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF datacenter networking, hardware modeling
11Fu-Ching Yang, Wen-Kai Huang, Jing-Kun Zhong, Ing-Jer Huang Automatic Verification of External Interrupt Behaviors for Microprocessor Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Alexandro Baldassin, Paulo Centoducatte, Sandro Rigo, Daniel C. Casarotto, Luiz C. V. dos Santos, Max R. de O. Schultz, Olinto J. V. Furtado An open-source binary utility generator. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Platform debugging, retargetable tools, TLM
11Izhar Zaidi, Atukem Nabina, Cedric Nishan Canagarajah, José L. Núñez-Yáñez Power/Area Analysis of a FPGA-Based Open-Source Processor using Partial Dynamic Reconfiguration. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Takamitsu Tahara, Katsuhiko Gondow, Seiya Ohsuga DRACULA: Detector of Data Races in Signals Handlers. Search on Bibsonomy APSEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Ming Yang, Lixin Yu, Heping Peng Energy Efficient Register File with Reduced Window Partition. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Ben Lickly, Isaac Liu, Sungjun Kim, Hiren D. Patel, Stephen A. Edwards, Edward A. Lee Predictable programming on a precision timed architecture. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pipeline, memory hierarchy, timing predictability
11Mohammad Ali Ghodrat, Tony Givargis, Alex Nicolau Control flow optimization in loops using interval analysis. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF algorithmic code transformation, compiler loop optimization, interval analysis
11Enkhbold Ochirsuren, Heiko Hinkelmann, Leandro Soares Indrusiak, Manfred Glesner TinyOS Extensions for a Wireless Sensor Network Node Based on a Dynamically Reconfigurable Processor. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Babu Turumella, Mukesh Sharma Assertion-based verification of a 32 thread SPARCTM CMT microprocessor. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, verification, coverage, assertions, multi-threading
11Max R. de O. Schultz, Alexandre Keunecke Ignácio Mendonça, Felipe G. Carvalho, Olinto J. V. Furtado, Luiz C. V. dos Santos A Model-Driven Automatically-Retargetable Debug Tool for Embedded Systems. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11José O. Carlomagno Filho, Luiz F. P. Santos, Luiz C. V. dos Santos An Automatically-Retargetable Time-Constraint-Driven Instruction Scheduler for Post-compiling Optimization of Embedded Code. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Alexandro Baldassin, Paulo Centoducatte, Sandro Rigo, Daniel C. Casarotto, Luiz C. V. dos Santos, Max R. de O. Schultz, Olinto J. V. Furtado Automatic Retargeting of Binary Utilities for Embedded Code Generation. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Mohammad Ali Ghodrat, Tony Givargis, Alex Nicolau Short-Circuit Compiler Transformation: Optimizing Conditional Blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jonathan K. Lee, Jens Palsberg, Fernando Magno Quintão Pereira Aliased Register Allocation for Straight-Line Programs Is NP-Complete. Search on Bibsonomy ICALP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Tobias Vejda, Dan Page, Johann Großschädl Instruction Set Extensions for Pairing-Based Cryptography. Search on Bibsonomy Pairing The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11V. Mariatos, Kostas Adaos, George Alexiou Design and Implementation of a Reconfigurable, Embedded Real-Time Face Detection System. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Antonio Dasilva 0001, José-Fernán Martínez, Lourdes López-Santidrián, Ana Belén García, Luis Redondo Exhaustif: a fault injection tool for distributed heterogeneous embedded systems. Search on Bibsonomy EATIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SWIFI, fault injection techniques, fault tolerance, distributed embedded systems
11Adam J. Elbirt Fast and Efficient Implementation of AES via Instruction Set Extensions. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cryptography, block cipher, AES, Galois Field
11Shrenik Mehta, Dwayne Lee Industry perspective on chip multi-threading, bridging the gap with academia using OpenSPARC. Search on Bibsonomy WCAE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel Distance-based recent use (DRU): an enhancement to instruction cache replacement policies for transition energy reduction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mehrdad Reshadi, Nikil D. Dutt, Prabhat Mishra 0001 A retargetable framework for instruction-set architecture simulation. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Retargetable instruction-set simulation, generic instruction model, instruction binary encoding, architecture description language, decode algorithm
11Bernd Mathiske, Douglas N. Simon, Dave Ungar The Project Maxwell assembler system. Search on Bibsonomy PPPJ The full citation details ... 2006 DBLP  DOI  BibTeX  RDF assembler generator, cross assembler, domain-specific framework, the Java language, Java, automated testing, systems programming, disassembler
11Michael Armbruster, Erik Zimmer, Matthias Lehmann, Reinhard Reichel, E. Sieglin, Gernot Spiegelberg, Armin Sulzmann Affordable X-By-Wire technology based on an innovative, scalable E/E platform-concept. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Zhelong Pan, Rudolf Eigenmann Fast and Effective Orchestration of Compiler Optimizations for Automatic Performance Tuning. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Kamran Karimi, Mohsen Sharifi Transparent Distributed Programming under Linux. Search on Bibsonomy HPCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Guy-Vincent Jourdan, Panitee Ritthiruangdech, Hasan Ural Test Suite Reduction Based on Dependence Analysis. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Greg Bronevetsky, Keshav Pingali, Paul Stodghill Experimental evaluation of application-level checkpointing for OpenMP programs. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Anupam Chattopadhyay, Arnab Sinha, Diandian Zhang, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Integrated Verification Approach during ADL-Driven Processor Design. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Miroslav N. Velev Formal Verification of Pipelined Microprocessors with Delayed Branches. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11William N. Scherer III, Doug Lea, Michael L. Scott Scalable synchronous queues. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF contention freedom, dual data structures, dual queue, dual stack, lock freedom, synchronous queue, nonblocking synchronization
11Arvind, Jan-Willem Maessen Memory Model = Instruction Reordering + Store Atomicity. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jason Hiser, Daniel W. Williams, Adrian Filipi, Jack W. Davidson, Bruce R. Childers Evaluating fragment construction policies for SDT systems. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic translation performance, software dynamic translator, performance, low overhead
11Hans Eberle, Sheueling Chang Shantz, Vipul Gupta, Nils Gura, Leonard Rarick, Lawrence Spracklen Accelerating Next-Generation Public-Key Cryptosystems on General-Purpose CPUs. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Public key cryptosystems, Processor Architectures, Cryptographic controls
11Haris Lekatsas, Jörg Henkel, Wayne H. Wolf Approximate arithmetic coding for bus transition reduction in low power designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Hisashige Ando, Nestoras Tzartzanis, William W. Walker A Case Study: Power and Performance Improvement of a Chip Multiprocessor for Transaction Processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Rodolfo Azevedo, Sandro Rigo, Marcus Bartholomeu, Guido Araujo, Cristiano C. de Araújo, Edna Barros The ArchC Architecture Description Language and Tools. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ISA simulator, Architecture description language, SystemC, compiled simulation
11Patrick Schaumont, David Hwang 0001, Ingrid Verbauwhede Platform-based design for an embedded-fingerprint-authentication device. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yasushi Shinjo, Calton Pu Achieving Efficiency and Portability in Systems Software: A Case Study on POSIX-Compliant Multithreaded Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF runtime specialization, thread-specific data, Performance, concurrent programming, threads, portability, software libraries
11Jürgen Becker 0001, Alexander Thomas Scalable Processor Instruction Set Extension. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yonghong Song, Spiros Kalogeropulos, Partha Tirumalai Design and Implementation of a Compiler Framework for Helper Threading on Multi-core Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11John D. Davis, James Laudon, Kunle Olukotun Maximizing CMP Throughput with Mediocre Cores. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Ankit Mathur, Mayank Agarwal, Soumyadeb Mitra, Anup Gangwar, M. Balakrishnan, Subhashis Banerjee SMPS: an FPGA-based prototyping environment for multiprocessor embedded systems (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Alexandre M. Amory, Marcelo Lubaszewski, Fernando Gehm Moraes, Edson I. Moreno Test Time Reduction Reusing Multiple Processors in a Network-on-Chip Based Architecture. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Roger D. Chamberlain, John W. Lockwood, Saurabh Gayen, Richard Hough, Phillip H. Jones Use of a Soft-Core Processor in a Hardware/Software Codesign Laboratory. Search on Bibsonomy MSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Mehrdad Reshadi, Prabhat Mishra 0001 Memory access optimizations in instruction-set simulators. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF memory address-space mapping, instruction-set simulator
11Radu Teodorescu, Josep Torrellas Prototyping Architectural Support for Program Rollback Using FPGAs. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Christophe Honvault, Marc Le Roy, Pascal Gula, Jean-Charles Fabre, Gérard Le Lann, Eric Bornschlegl Novel Generic Middleware Building Blocks for Dependable Modular Avionics Systems. Search on Bibsonomy EDCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Stefan Tillich, Johann Großschädl, Alexander Szekely An Instruction Set Extension for Fast and Memory-Efficient AES Implementation. Search on Bibsonomy Communications and Multimedia Security The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 32-bit implementation, cache-based side-channel analysis, Advanced Encryption Standard, S-box, instruction set extensions
11Rod Fatoohi, Ken Kardys, Sumy Koshy, Soundarya Sivaramakrishnan, Jeffrey S. Vetter Performance Evaluation of High-Speed Interconnects Using Dense Communication Patterns. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Pattara Kiatisevi, Luis Leonardo Azuara-Gomez, Rainer Dorsch, Hans-Joachim Wunderlich Development of an audio player as system-on-a-chip using an open source platform. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Michele Portolan, Régis Leveugle On the Need for Common Evaluation Methods for Fault Tolerance Costs in Microprocessors. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Stefan Tillich, Johann Großschädl Accelerating AES Using Instruction Set Extensions for Elliptic Curve Cryptography. Search on Bibsonomy ICCSA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 32-bit implementation, software acceleration, Advanced Encryption Standard, Rijndael, instruction set extensions
11Alexandro Baldassin, Paulo Centoducatte, Sandro Rigo Extending the ArchC Language for Automatic Generation of Assemblers. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Michael Ball 0002, Cristina Cifuentes, Deepankar Bairagi Partitioning of Code for a Massively Parallel Machine. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Kristian Hildingsson, Tughrul Arslan, Ahmet T. Erdogan Energy Evaluation Methodology for Platform Based System-on-Chip Design. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Irina Chihaia, Thomas R. Gross Effectiveness of simple memory models for performance prediction. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Gabriel Marin, John M. Mellor-Crummey Cross-architecture performance predictions for scientific applications using parameterized models. Search on Bibsonomy SIGMETRICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modeling, performance analysis, prediction
11Phillip H. Jones, Shobana Padmanabhan, Daniel Rymarz, John Maschmeyer, David V. Schuehler, John W. Lockwood, Ron Cytron Liquid Architecture. Search on Bibsonomy IPDPS Next Generation Software Program - NSFNGS - PI Workshop The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Sandro Rigo, Guido Araujo, Marcus Bartholomeu, Rodolfo Azevedo ArchC: A SystemC-Based Architecture Description Language. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Marcus Bartholomeu, Rodolfo Azevedo, Sandro Rigo, Guido Araujo Optimizations for Compiled Simulation Using Instruction Type Information. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Daniel Luna, Mikael Pettersson, Konstantinos Sagonas HiPE on AMD64. Search on Bibsonomy Erlang Workshop The full citation details ... 2004 DBLP  DOI  BibTeX  RDF AMD64, native code compilation, erlang
11Naveen Kumar 0002, Jonathan Misurda, Bruce R. Childers, Mary Lou Soffa Instrumentation in software dynamic translators for self-managed systems. Search on Bibsonomy WOSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ji-Rong Wen, Qing Li 0001, Wei-Ying Ma, HongJiang Zhang A Multi-paradigm Querying Approach for a Generic Multimedia Database Management System. Search on Bibsonomy SIGMOD Rec. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF media independence, multi-paradigm querying, multimedia database management, uniform data modeling
11Mark W. Bailey, Jack W. Davidson Automatic Detection and Diagnosis of Faults in Generated Code for Procedure Calls. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Target-sensitive test suite generation, automatic fault isolation, procedure-calling convention, compiler testing and debugging, code generation
11Norman Ramsey, Cristina Cifuentes A transformational approach to binary translation of delayed branches. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF program transformation, program analysis, Binary translation
11Jürgen Becker 0001, Martin Vorbach Architecture, Memory and Interface Technology Integration of an Industrial/Academic Configurable System-on-Chip (CSoC). Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante An Accurate Analysis of the Effects of Soft Errors in the Instruction and Data Caches of a Pipelined Microprocessor. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Tom J. Kazmierski, Xing Q. Yang A Secure Web-Based Framework for Electronic System Level Design. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Jürgen Becker 0001, Alexander Thomas, Maik Scheer Efficient Processor Instruction Set Extension by Asynchronous Reconfigurable Datapath Integration. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Mehrdad Reshadi, Nikhil Bansal 0003, Prabhat Mishra 0001, Nikil D. Dutt An efficient retargetable framework for instruction-set simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generic instruction model, instruction binary encoding, retargetable instruction-set simulation, architecture description language, decode algorithm
11Makoto Kudo, Hisayasu Kuroda, Yasumasa Kanada Parallel Blocked Sparse Matrix-Vector Multiplication with Dynamic Parameter Selection Method. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Prasad Jayanti, Srdjan Petrovic Efficient and practical constructions of LL/SC variables. Search on Bibsonomy PODC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Mehrdad Reshadi, Nikil D. Dutt Reducing Compilation Time Overhead in Compiled Simulators. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Pablo Viana, Edna Barros, Sandro Rigo, Rodolfo Azevedo, Guido Araujo Exploring Memory Hierarchy with ArchC. Search on Bibsonomy SBAC-PAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Vishal J. Mehta, Kunal K. Dave, Vishwani D. Agrawal, Michael L. Bushnell A Fault-Independent Transitive Closure Algorithm for Redundancy Identification. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Christian S. Collberg Automatic derivation of compiler machine descriptions. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Back-end generators, compiler configuration scripts, retargeting
11Georg Bißeling, Hans-Christian Hoppe, Alexander V. Supalov, Pierre Lagier, Jean Latour Fujitsu MPI-2: Fast Locally, Reaching Globally. Search on Bibsonomy PVM/MPI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Nihar R. Mahapatra, Jiangjiang Liu 0002, Krishnan Sundaresan The performance advantage of applying compression to the memory system. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address compression, instruction compression, cache, data compression, entropy, memory, latency, Markov models, bandwidth, storage, traffic, register file, lossless compression
11Davide Bertozzi, Luca Benini, Giovanni De Micheli Low Power Error Resilient Encoding for On-Chip Data Buses. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Tom J. Kazmierski, Neil Clayton A Two-Tier Distributed Electronic Design Framework. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 404 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license