The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for TLB with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-1994 (15) 1995-1997 (15) 1998-2000 (23) 2001-2002 (30) 2003 (18) 2004 (21) 2005-2006 (34) 2007 (16) 2008 (17) 2009-2010 (20) 2011-2013 (15) 2014-2017 (26) 2018-2019 (17) 2020-2021 (24) 2022-2023 (14)
Publication types (Num. hits)
article(69) incollection(1) inproceedings(233) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 257 occurrences of 163 keywords

Results
Found 305 publication records. Showing 305 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Reiley Jeyapaul, Aviral Shrivastava B2P2: bounds based procedure placement for instruction TLB power reduction in embedded systems. Search on Bibsonomy SCOPES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Zhao Lei, Hui Xu, Daisuke Ikebuchi, Hideharu Amano, Tetsuya Sunata, Mitaro Namiki Reducing instruction TLB's leakage power consumption for embedded processors. Search on Bibsonomy Green Computing Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Dongkyun Ahn, Gyungho Lee Countering code injection attacks with TLB and I/O monitoring. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Jung-Hoon Lee Low power TLB structure by using dynamic searching algorithm. Search on Bibsonomy Comput. Syst. Sci. Eng. The full citation details ... 2009 DBLP  BibTeX  RDF
21Abhishek Bhattacharjee, Margaret Martonosi Characterizing the TLB Behavior of Emerging Parallel Workloads on Chip Multiprocessors. Search on Bibsonomy PACT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Girish Venkatasubramanian, Renato J. O. Figueiredo, Ramesh Illikkal, Donald Newell TMT - A TLB Tag Management Framework for Virtualized Platforms. Search on Bibsonomy SBAC-PAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Chang-Jiu Chen, Wei-Min Cheng Reducing the TLB Context Switching Miss Ratio With Banked and Prefetching Mechanism. Search on Bibsonomy J. Inf. Sci. Eng. The full citation details ... 2008 DBLP  BibTeX  RDF
21Chinnakrishnan S. Ballapuram, Hsien-Hsin S. Lee Improving TLB energy for java applications on JVM. Search on Bibsonomy ICSAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Mohsen Sharifi, Mohsen Soryani, Mohammad Hossein Rezvani A Simulation-Based Study of 2-level TLB and Cache Performance of the SPEC CPU2000 Benchmarks. Search on Bibsonomy IMECS The full citation details ... 2007 DBLP  BibTeX  RDF
21Norman R. Howes Distributed System Architecture and Specification with TLB. Search on Bibsonomy FCS The full citation details ... 2007 DBLP  BibTeX  RDF
21Shashank Shastry, Ajay Bhatia, Sagar Reddy A Single-Cycle-Access 128-Entry Fully Associative TLB for Multi-Core Multi-Threaded Server-on-a-Chip. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jung-Hoon Lee, Gi-Ho Park, Shin-Dug Kim Dynamic and selective low power data TLB system. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jin-Hyuck Choi, Jung-Hoon Lee, Seh-Woong Jeong, Shin-Dug Kim, Charles C. Weems A Low Power TLB Structure for Embedded Systems. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Cheol Ho Park, Daeyeon Park Aggressive superpage support with the shadow memory and the partial-subblock TLB. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Jung-Hoon Lee, Jang-Soo Lee, Seh-Woong Jeong, Shin-Dug Kim A Banked-Promotion TLB for High Performance and Low Power. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Ken-ichi Suzuki, Nobuyuki Oba, Shigenori Shimizu, Hiroaki Kobayashi, Tadao Nakamura Time stamp invalidation of TLB-unified cache and its performance evaluation. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Toni Juan, Tomás Lang, Juan J. Navarro Reducing TLB power requirements. Search on Bibsonomy ISLPED The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Rafael H. Saavedra, Alan Jay Smith Measuring Cache and TLB Performance and Their Effect on Benchmark Runtimes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF execution time prediction, processor caches, table lookaside buffers, Performance evaluation, memory hierarchy
21Lishing Liu Multiple-Page Translation for TLB. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21J. Bradley Chen, Anita Borg, Norman P. Jouppi A Simulation Based Study of TLB Performance. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Patricia J. Teller Performance Evaluation of Solutions to the TLB Consistency Problem. Search on Bibsonomy 1991   RDF
21George Taylor, Peter Davies, Michael Farmwald The TLB Slice - A Low-Cost High-Speed Address Translation Mechanism. Search on Bibsonomy ISCA The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Henrik Löf, Sverker Holmgren affinity-on-next-touch: increasing the performance of an industrial PDE solver on a cc-NUMA system. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TLB shoot-down, computational electro-magnetics, large pages, OpenMP, sparse matrices, conjugate gradients, cc-NUMA, page migration
20William J. Dally A Fast Translation Method for Paging on top of Segmentation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF fine grain relocation, one-step translation, virtual address, physical address, TLB reads, fine-grain protection, segmentation, object-oriented programming, paging, virtual storage, translation lookaside buffer
12Houman Homayoun, Avesta Sasan, Aseem Gupta, Alexander V. Veidenbaum, Fadi J. Kurdahi, Nikil D. Dutt Multiple sleep modes leakage control in peripheral circuits of a all major SRAM-based processor units. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multiple sleep mode, peripheral circuits, sram memory, temperature reduction, leakage power
12Darshan Desai, Gerolf Hoflehner, Arun Kejariwal, Daniel M. Lavery, Alexandru Nicolau, Alexander V. Veidenbaum, Cameron McNairy Performance Characterization of Itanium® 2-Based Montecito Processor. Search on Bibsonomy SPEC Benchmark Workshop The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12John L. Henning SPECrate2006: Alternatives Considered, Lessons Learned. Search on Bibsonomy SPEC Benchmark Workshop The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Panyong Zhang, Bo Li 0009, Zhigang Huo, Dan Meng Evaluating the Effect of Huge Page on Large Scale Applications. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Chengliang Zhang, Martin Hirzel Online Phase-Adaptive Data Layout Selection. Search on Bibsonomy ECOOP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Naomi Seki, Lei Zhao, Jo Kei, Daisuke Ikebuchi, Yu Kojima, Yohei Hasegawa, Hideharu Amano, Toshihiro Kashima, Seidai Takeda, Toshiaki Shirai, Mitsutaka Nakata, Kimiyoshi Usami, Tetsuya Sunata, Jun Kanai, Mitaro Namiki, Masaaki Kondo, Hiroshi Nakamura A fine-grain dynamic sleep control scheme in MIPS R3000. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jian Zhang, Xiaoyong Li, Haibing Guan The Optimization of Xen Network Virtualization. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Vasily Volkov, James Demmel Benchmarking GPUs to tune dense linear algebra. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Behram Khan, Matthew Horsnell, Ian Rogers, Mikel Luján, Andrew Dinn, Ian Watson A first insight into object-aware hardware transactional memory. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF object-oriented programming, transactional memory, concurrent programming
12Youcef Bouchebaba, Bruno Girodias, Fabien Coelho, Gabriela Nicolescu, El Mostapha Aboulhamid Buffer and Register Allocation for Memory Space Optimization. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF program transformation, memory hierarchy, data locality, memory optimization
12Dohun Kim, Jugwan Eom, Chanik Park L4oprof: a performance-monitoring-unit-based software-profiling framework for the L4 microkernel. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Denis Barthou, Sébastien Donadio, Patrick Carribault, Alexandre Duchateau, William Jalby Loop Optimization using Hierarchical Compilation and Kernel Decomposition. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jugwan Eom, Dohun Kim, Chanik Park L4oprof: A System-Wide Profiler Using Hardware PMU in L4 Environment. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF L4 microkernel, statistical profiling, hardware PMU, performance analysis, performance measures, performance monitoring
12Yefim Shuf, Ian M. Steiner Characterizing a Complex J2EE Workload: A Comprehensive Analysis and Opportunities for Optimizations. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF J2EE workload, Java benchmarks, SPECjvm98, SPECjbb2000, Java 2 Enterprise Edition, SPECjAppServer2004, systems research, software research, cache-to-cache modified data transfers, intelligent thread co-scheduling, Java heap, bursty data cache, Java virtual method calls, optimizations, performance analysis, garbage collection, instruction cache, data prefetching, commercial workload
12Rubao Lee, Bihui Duan, Taoying Liu Architectural characterization of XQuery workloads on modern processors. Search on Bibsonomy DaMoN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Chun Chen 0002, Jaewook Shin, Shiva Kintali, Jacqueline Chame, Mary W. Hall Model-Guided Empirical Optimization for Multimedia Extension Architectures: A Case Study. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Emre Özer 0001, Stuart Biles Thread Priority-Aware Random Replacement in TLBs for a High-Performance Real-Time SMT Processor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Michael Penner, Viktor K. Prasanna Cache-Friendly implementations of transitive closure. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Floyd-Warshall algorithm, systolic array algorithms, Data structures
12Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Scalable locality-conscious multithreaded memory allocation. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF synchronization-free, shared memory, multithreading, memory management, non-blocking
12Guangming Tan, Ninghui Sun, Dongbo Bu Improving locality of nonserial polyadic dynamic programming. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Freddy Lécué, Alain Léger Semantic Web Service Composition through a Matchmaking of Domain. Search on Bibsonomy ECOWS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Keun Soo Yim, Jae Don Lee, Jungkeun Park, Jeong-Joon Yoo, Chaeseok Im, Yeonseung Ryu A Software Reproduction of Virtual Memory for Deeply Embedded Systems. Search on Bibsonomy ICCSA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory system and heap management, Embedded system
12Padma Apparao, Ravi R. Iyer 0001, Donald Newell Architectural Characterization of VM Scaling on an SMP Machine. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF architectural characterization, Java, performance, scalability, virtualization, servers, Xen
12Zarka Cvetanovic Performance tools - Performance tools for large-scale clusters. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jaydeep Marathe, Frank Mueller 0001 Hardware profile-guided automatic page placement for ccNUMA systems. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF page placement, NUMA, profile-guided optimization, hardware performance monitoring
12Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith 0001 A performance counter architecture for computing accurate CPI components. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware performance counter architecture, superscalar processor performance modeling
12Saumil Shah, Puneet Gupta 0001, Andrew B. Kahng Standard cell library optimization for leakage reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate-length biasing, library optimization, leakage reduction
12Xianglong Huang, Brian T. Lewis, Kathryn S. McKinley Dynamic code management: improving whole program code locality in managed runtimes. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF locality, virtual machines, code generation, dynamic optimization, performance monitoring, code layout
12Ravi R. Iyer 0001, Jack Perdue, Lawrence Rauchwerger, Nancy M. Amato, Laxmi N. Bhuyan An Experimental Evaluation of the HP V-Class and SGI Origin 2000 Multiprocessors using Microbenchmarks and Scientific Applications. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance analysis, Parallel architectures, shared memory
12Xiaocheng Zhou, Zhigang Huo, Ninghui Sun, Yingchao Zhou Impact of Page Size on Communication Performance. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Xiangrong Zhou, Peter Petrov Energy-efficient address translation for virtual memory support in low-power and real-time embedded processors. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Martin Karlsson, Erik Hagersten, Kevin E. Moore, David A. Wood 0001 Exploring Processor Design Options for Java-Based Middleware. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Java, Middleware, CMP, workloads, ILP, Characterization
12Kostas Magoutis Memory Management Support for Multi-Programmed Remote Direct Memory Access (RDMA) Systems. Search on Bibsonomy CLUSTER The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Aravind Menon, Jose Renato Santos, Yoshio Turner, G. John Janakiraman, Willy Zwaenepoel Diagnosing performance overheads in the xen virtual machine environment. Search on Bibsonomy VEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF statistical profiling, performance analysis, virtual machine monitors
12Naohiko Shimizu, Chiaki Kon Java object look aside buffer for embedded applications. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Xiaogang Qiu, Michel Dubois 0001 Tolerating Late Memory Traps in Dynamically Scheduled Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Bin Cui 0001, Beng Chin Ooi, Jianwen Su, Kian-Lee Tan Main Memory Indexing: The Case for BD-Tree. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BD-tree, {rm{B}}^+{hbox{-}}{rm{tree}}, {rm{CSB}}^+{hbox{-}}{rm{tree}}, Main memory databases
12Gilberto Contreras, Margaret Martonosi, Jinzhan Peng, Roy Ju, Guei-Yuan Lueh XTREM: a power simulator for the Intel XScale® core. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF XORP, XScale, Java, power modeling, hardware performance counters, power measurements
12Steven T. Gabriel, David S. Wise The Opie compiler from row-major source to Morton-ordered matrices. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache, scientific computing, paging, quadtrees
12Ali-Reza Adl-Tabatabai, Jay Bharadwaj, Michal Cierniak, Marsha Eng, Jesse Fang, Brian T. Lewis, Brian R. Murphy, James M. Stichnoth Improving 64-Bit Java IPF Performance by Compressing Heap References. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Kyounghwan An, Bonghee Hong Growing Node Policies of a Main Memory Index Structure for Moving Objects Databases. Search on Bibsonomy DEXA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Evangelia Athanasaki, Nectarios Koziris Fast Indexing for Blocked Array Layouts to Improve Multi-Level Cache Locality. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Gabriel Marin, John M. Mellor-Crummey Cross-architecture performance predictions for scientific applications using parameterized models. Search on Bibsonomy SIGMETRICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modeling, performance analysis, prediction
12John W. Sias, Sain-Zee Ueng, Geoff A. Kent, Ian M. Steiner, Erik M. Nystrom, Wen-mei W. Hwu Field-testing IMPACT EPIC research results in Itanium 2. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Anthony S. Fong A computer architecture with access control and cache option tags on individual instruction operands. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF operand descriptor, optional encaching, system attributes, access control, data coherency
12Venkata K. Pingali, Sally A. McKee, Wilson C. Hsieh, John B. Carter Restructuring Computations for Temporal Data Cache Locality. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, data structures, Memory performance
12Daniel Jiménez-González, Juan J. Navarro, Josep Lluís Larriba-Pey CC-Radix: a Cache Conscious Sorting Based on Radix sort. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Richard A. Hankins, Jignesh M. Patel Effect of node size on the performance of cache-conscious B+-trees. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cache-conscious, index, B+-tree
12Shigeru Kusakabe, Kentaro Iio, Hideo Taniguchi, Makoto Amamiya Fine-Grained System-Call Scheduling in CEFOS on Commodity Processors. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Jonathan S. Shapiro Vulnerabilities in Synchronous IPC Designs. Search on Bibsonomy S&P The full citation details ... 2003 DBLP  DOI  BibTeX  RDF capability systems, operating systems, vulnerability, interprocess communication
12Dirk Grunwald, Soraya Ghiasi Microarchitectural denial of service: insuring microarchitectural fairness. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Huiyang Zhou, Thomas M. Conte Code Size Efficiency in Global Scheduling for ILP Processors. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Code Size Efficiency, I-cache Performance, Code Replication, Tail Duplication, Optimal Code Size Efficiency, Diminishing Returns, Quantitative Measure, Instruction Level Parallelism (ILP)
12Venkata K. Pingali, Sally A. McKee, Wilson C. Hsieh, John B. Carter Computation regrouping: restructuring programs for temporal data cache locality. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, data structures, memory performance
12Danko Butorac Project IPSIS - Web Portal and Linux for the Blind. Search on Bibsonomy ICCHP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Luiz De Rose, Kattamuri Ekanadham, Jeffrey K. Hollingsworth, Simone Sbaraglia SIGMA: a simulator infrastructure to guide memory analysis. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Mani Azimi, Faye A. Briggs, Michel Cekleov, Manoj Khare, Akhilesh Kumar, Lily Pao Looi Scalability Port: A Coherent Interface for Shared Memory Multiprocessors. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Yu Chen, Xiaoge Wang, Zhenqiang Jiao, Jun Xie, Zhihui Du, Sanli Li MyVIA: A Design and Implementation of the High Performance Virtual Interface Architecture. Search on Bibsonomy CLUSTER The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Yen-Jen Chang, Feipei Lai Paged cache: an efficient partition architecture for reducing power, area and access time. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Sriram Sellappa, Siddhartha Chatterjee Cache-Efficient Multigrid Algorithms. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Alex Ramírez, Luiz André Barroso, Kourosh Gharachorloo, Robert S. Cohn, Josep Lluís Larriba-Pey, P. Geoffrey Lowney, Mateo Valero Code layout optimizations for transaction processing workloads. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Stefan Manegold, Peter A. Boncz, Martin L. Kersten Optimizing database architecture for the new bottleneck: memory access. Search on Bibsonomy VLDB J. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Memory access optimization, Decomposed storage model, Query processing, Main-memory databases, Join algorithms, Implementation techniques
12Siddhartha Chatterjee, Sandeep Sen Cache-Efficient Matrix Transposition. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Adam Wiggins, Gernot Heiser Fast Address-Space Switching on the StrongARM SA-1100 Processor. Search on Bibsonomy ACAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Afzal Malik, Bill Moyer, Dan Cermak The M·CORETM M340 Unified Cache Architecture. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Noboru Tanabe, Junji Yamamoto, Hiroaki Nishi, Tomohiro Kudoh, Yoshihiro Hamada, Hironori Nakajo, Hideharu Amano On-the-fly Sending: A Low Latency High Bandwidth Message Transfer Mechanism. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Noboru Tanabe, Junji Yamamoto, Hiroaki Nishi, Tomohiro Kudoh, Yoshihiro Hamada, Hironori Nakajo, Hideharu Amano MEMOnet : Network interface plugged into a memory slot. Search on Bibsonomy CLUSTER The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Yonghong Song, Zhiyuan Li 0001 A Compiler Framework for Tiling Imperfectly-Nested Loops. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Xiaogang Qiu, Michel Dubois 0001 Tolerating Late Memory Traps in ILP Processors. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Larry Carter, Kang Su Gatlin Towards an Optimal Bit-Reversal Permutation Program. Search on Bibsonomy FOCS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Transpose, Cache, FFT, Memory hierarchy, Permutations
12Dawn N. Jutla, Peter Bodorik Architectural Support for Synchronization of Threads Accessing Variable-Sized Units of Virtual Memory. Search on Bibsonomy HICSS (3) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Yuqun Chen, Angelos Bilas, Stefanos N. Damianakis, Cezary Dubnicki, Kai Li 0001 UTLB: A Mechanism for Address Translation on Network Interfaces. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Xijun Zhang, Chunming Qiao Pipelined Transmission Scheduling in All-Optical TDM/WDM Rings. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF TDM/WDM, AAPC, Pipelined transmissions, Scheduling, Ring
12Srilatha Manne, Dirk Grunwald, Fabio Somenzi Remembrance of Things Past: Locality and Memory in BDDs. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Chun Xia, Josep Torrellas Instruction Prefetching of Systems Codes with Layout Optimized for Reduced Cache Misses. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12André Seznec Don't Use the Page Number, But a Pointer To It. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF address width, indirect-tagged caches, reduced branch target buffers, tag implementation cost
12Ben Verghese, Scott Devine, Anoop Gupta, Mendel Rosenblum Operating System Support for Improving Data Locality on CC-NUMA Compute Servers. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 305 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license