The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for configurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1986 (15) 1987-1990 (18) 1991-1992 (42) 1993 (21) 1994 (47) 1995 (25) 1996 (54) 1997 (50) 1998 (107) 1999 (97) 2000 (132) 2001 (120) 2002 (145) 2003 (203) 2004 (249) 2005 (286) 2006 (255) 2007 (252) 2008 (270) 2009 (198) 2010 (126) 2011 (116) 2012 (122) 2013 (142) 2014 (135) 2015 (145) 2016 (128) 2017 (157) 2018 (171) 2019 (176) 2020 (173) 2021 (187) 2022 (198) 2023 (212) 2024 (38)
Publication types (Num. hits)
article(1270) book(2) data(2) incollection(14) inproceedings(3444) phdthesis(75) proceedings(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2225 occurrences of 1302 keywords

Results
Found 4812 publication records. Showing 4812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Greg Stitt, Frank Vahid Binary synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Binary synthesis, synthesis from software binaries, FPGA, hardware/software codesign, hardware/software partitioning, configurable logic, warp processors
21Nut Taesombut, Andrew A. Chien Evaluating network information models on resource efficiency and application performance in lambda-grids. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF configurable optical network, lambda-grids, information model
21Pantelis Makris Multilingual Augmentative Alternative Communication System. Search on Bibsonomy COST 2102 Workshop (Vietri) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Augmentative Alternative Communication (AAC), severely handicapped, configurable, email, chatting, multilingual, acronyms
21Myra B. Cohen, Joshua Snyder, Gregg Rothermel Testing across configurations: implications for combinatorial testing. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2006 DBLP  DOI  BibTeX  RDF empirical study, code coverage, combinatorial interaction testing, configurable software
21Chuanjun Zhang, Frank Vahid, Roman L. Lysecky A self-tuning cache architecture for embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip CAD, embedded systems, low power, Cache, configurable, dynamic optimization, low energy, architecture tuning
21Hongbing Fan, Yu-Liang Wu, Chak-Chung Cheung, Jiping Liu On Optimal Irregular Switch Box Designs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, Configurable computing, on-chip network, switch box
21Roman L. Lysecky, Frank Vahid, Sheldon X.-D. Tan Dynamic FPGA routing for just-in-time FPGA compilation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, dynamic optimization, system-on-a-chip, platforms, codesign, hardware/software partitioning, just-in-time compilation, configurable logic, place and route, warp processors
21Maya B. Gokhale, Janette Frigo, Kevin McCabe, James Theiler, Christophe Wolinski, Dominique Lavenier Experience with a Hybrid Processor: K-Means Clustering. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF configurable system on a chip, CSOC, Excalibur, FPGA, image processing, k-means clustering
21Brian Grattan, Greg Stitt, Frank Vahid Codesign-extended applications. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hardware/software cospecification, system-on-a-chip, hardware/software partitioning, platform-based design, configurable logic
21Nathalie Weiler Secure Anonymous Group Infrastructure for Common and Future Internet Applications. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF scalable anonymity, configurable end-to-end anonymous communication, pseudonymous multicast, anonymous group communication
21Xiaowei Li 0001, Paul Y. S. Cheung, Hideo Fujiwara LFSR-Based Deterministic TPG for Two-Pattern Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable LFSR, built-in self-test, path delay faults, two-pattern test
21Kenneth Prager, Michael Vahey, William Farwell, James Whitney, Jon Lieb A Fault Tolerant Signal Processing Computer. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF distributed crossbar, Fault detection, voting, single event upset, configurable computing, fault recovery
21Hea Joung Kim, William H. Mangione-Smith Factoring large numbers with programmable hardware. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable computing technologies, number factoring algorithms, sieving, special-purpose factoring hardware, public-key cryptosystems
21Nuno Roma, Leonel Sousa In the Development and Evaluation of Specialized Processors for Computing High-Order 2-D Image Moments in Real-Time. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF specialized processors, high-order 2-D image moments, computational intensive task, systolic processing, programmable digital processors, configurable hardware logic, real-time system, image analysis, object modelling, floating-point arithmetic, digital signal processing chips, object matching
21Abderrahim Doumar, Hideo Ito Testing approach within FPGA-based fault tolerant systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA-based fault-tolerant systems, FPGA test strategy, configurable logic blocks, functional phase, on-chip configuration data shifting, shifting process control, test application, test observation, fault tolerance management logic, fault tolerance cost, chip functionality, delay overhead, Xilinx FPGA, fault tolerance, field programmable gate arrays, delays, integrated circuit testing, integrated logic circuits, testing time, user data, test phase
21Andreas Dehmel, Peter Baumann 0001 Visualizing Multidimensional Raster Data with rView. Search on Bibsonomy DEXA Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multidimensional raster data visualization, rView, visual front end, RasDaMan DBMS, FORWISS, voxel rendering, height field rendering, user-configurable mappings, RGB colour space, graphical user interface, texture mapping, storage system, visual databases
21Jalal Al-Muhtadi, Manish Anand, M. Dennis Mickunas, Roy H. Campbell Secure Smart Homes using Jini and UIUC SESAME. Search on Bibsonomy ACSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF secure smart homes, dynamically configurable consumer devices, distributed security mechanism, Tiny SESAME, distributed devices, Java, Java, access control, distributed processing, public key cryptography, public key cryptography, handheld devices, authorisation, Jini, component-based software, home automation, Kerberos, access rights, Sun Microsystems, smart devices
21Benjamin A. Levine, Senthil Natarajan, Chandra Tan, Danny F. Newport, Donald W. Bouldin Mapping of an Automated Target Recognition Application from a Graphical Software Environment to FPGA-Based Reconfigurable Hardware. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF high-level design tools, FPGA design tools, Khoros, FPGA, configurable computing
21Brad L. Hutchings, Peter Bellows, Joseph Hawkins, K. Scott Hemmert, Brent E. Nelson, Mike Rytting A CAD Suite for High-Performance FPGA Design. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF adaptive computing systems, high-performance FPGA design, FPGAs, configurable computing
21Oliver Bringmann 0001, Wolfgang Rosenstiel, Annette Muth, Georg Färber, Frank Slomka, Richard Hofmann Mixed Abstraction Level Hardware Synthesis from SDL for Rapid Prototyping. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Synthesis Methodology, Configurable VHDL Components, VHDL, Rapid Prototyping, SDL
21Hassan Gomaa, Ghulam A. Farrukh Automated Configuration of Distributed Applications from Reusable Software Architectures. Search on Bibsonomy ASE The full citation details ... 1997 DBLP  DOI  BibTeX  RDF reusable software architectures, automated configuration, reuse-oriented perspective, configurable distributed applications, reusable specifications, predefined component types, distributed processing, domain engineering
21Gregory D. Abowd, Ashok K. Goel 0001, Dean F. Jerding, W. Michael McCracken, Melody M. Moore, J. William Murdock, Colin Potts, Spencer Rugaber, Linda M. Wills MORALE. Mission ORiented Architectural Legacy Evolution. Search on Bibsonomy ICSM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF mission-oriented architectural legacy evolution, evolving software, inquiry-based approach, change requirements elicitation, reverse engineering technique, architectural information extraction, impact assessment, architectural components reuse, evolved version, reflective approach, user-configurable viewers, Mosaic Version 2.4, Esprit de Corps tool-suite, adaptive design, software engineering, user interfaces, software architecture, software maintenance, software evolution, scenarios, program visualization, software development method, MORALE, user interface migration, World Wide Web browser
21Badara Ali Kaba, Jean-Claude Derniame Evolution of on-line process fragments families. Search on Bibsonomy ISPW The full citation details ... 1996 DBLP  DOI  BibTeX  RDF online process fragment family evolution, online product families, cooperative development process, access mechanism, transient update mechanism, configurable fragments, evolutionary process fragments, software reuse, software reusability, software development processes
21Jainendra Kumar, Noel R. Strader, Jeff Freeman, Michael Miller Emulation verification of the Motorola 68060. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF emulation verification, Motorola 68060, hardware logic emulation, configurable hardware, circuit verification, pseudo-random verification vectors, software application programs, formal verification, microprocessors, reconfigurable architectures, logic CAD, digital simulation, circuit analysis computing, RTL, hardware description languages, hardware description language, microprocessor chips, HDL, gate-level
21David J. Sides A dynamically adaptable real time data acquisition and display system. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF naval engineering computing, marine systems, oceanographic techniques, dynamically adaptable real time data acquisition and display system, Johns Hopkins University Applied Physics Laboratory, US submarines, in-situ ocean environment measurement, standard patrol operations, dynamically adaptable architecture, oceanographic data sensor sources, plug and play fashion, data display, faulted sensor packages, dynamically configurable database, real-time systems, computer graphics, sensors, database management systems, calibration, configuration management, configuration management, data acquisition, data acquisition, data processing, data handling, sensor data, computer displays, Data Dictionary
19Meikang Qiu, Hao Li, Edwin Hsing-Mean Sha Heterogeneous real-time embedded software optimization considering hardware platform. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, component, heterogeneous, embedded software
19Masahiro Kuroda, Kentaro Ishizu, Hiroshi Harada, Ryoichi Komiya A Study of Radio-information Services for Networks of Cognitive Radios. Search on Bibsonomy SECON The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Hanhua Feng, Vishal Misra, Dan Rubenstein PBS: a unified priority-based scheduler. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scheduling, linux, queueing systems, FCFS, LAS, PBS
19Anupam Chattopadhyay, Zoltan Endre Rakosi, Kingshuk Karuri, David Kammler, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Pre- and Post-Fabrication Architecture Exploration for Partially Reconfigurable VLIW Processors. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Lech Józwiak, Dominik Gawlowski, Aleksander Slusarczyk Multi-objective Optimal Controller Synthesis for Heterogeneous Embedded Systems. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jan Recker, Jan Mendling, Wil M. P. van der Aalst, Michael Rosemann Model-Driven Enterprise Systems Configuration. Search on Bibsonomy CAiSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Roman L. Lysecky, Frank Vahid A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Matthew Ouellette, Daniel A. Connors Analysis of Hardware Acceleration in Reconfigurable Embedded Systems. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Carsten Bieser, Klaus D. Müller-Glaser COMPASS - A Novel Concept of a Reconfigurable Platform for Automotive System Development and Test. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Monchai Sopitkamol Ranking configuration parameters in multi-tiered e-commerce sites. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Sascha Mertens, Marius Rosu, Yuliadi Erdani An intelligent dialogue for online rule based expert systems. Search on Bibsonomy IUI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic web interface, intelligent dialogue, expert systems, rule based systems
19Antti Pelkonen, Kostas Masselos, Miroslav Cupák System-Level Modeling of Dynamically Reconfigurable Hardware with SystemC. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jérôme Hugues, Laurent Pautet, Fabrice Kordon Contributions to middleware architectures to prototype distribution infrastructures. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19George R. Ribeiro-Justo, Tereska Karran An Object-Oriented Organic Architecture for Next Generation Intelligent Reconfigurable Mobile Networks. Search on Bibsonomy DOA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19David B. Jackson, Quinn Snell, Mark J. Clement Core Algorithms of the Maui Scheduler. Search on Bibsonomy JSSPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Srimat T. Chakradhar, Murugan Sankaradass, Venkata Jakkula, Srihari Cadambi A dynamically configurable coprocessor for convolutional neural networks. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic reconfiguration, parallel computer architecture, convolutional neural networks
17Quan Z. Sheng, Boualem Benatallah, Zakaria Maamar, Anne H. H. Ngu Configurable Composition and Adaptive Provisioning of Web Services. Search on Bibsonomy IEEE Trans. Serv. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Erik Solum, Carl Hauser, Rasika Chakravarthy, David E. Bakken Modular over-the-wire configurable security for long-lived critical infrastructure monitoring systems. Search on Bibsonomy DEBS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17David Röthlisberger, Oscar Nierstrasz, Stéphane Ducasse, Damien Pollet, Romain Robbes Supporting task-oriented navigation in IDEs with configurable HeatMaps. Search on Bibsonomy ICPC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Ralph Mietzner, Tobias Unger, Frank Leymann Cafe: A Generic Configurable Customizable Composite Cloud Application Framework. Search on Bibsonomy OTM Conferences (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Érika F. Cota, Luigi Carro, Felipe Pinto, Ricardo Augusto da Luz Reis, Marcelo Lubaszewski Resource-and-time-aware test strategy for configurable quaternary logic blocks. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF quaternary logic, test generation, FPGA testing
17Nadine Shillingford, Christian Poellabauer Configurable routing in mesh networks. Search on Bibsonomy WOWMOM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Tom Peterka, David Goodell, Robert B. Ross, Han-Wei Shen, Rajeev Thakur A configurable algorithm for parallel image-compositing applications. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel scientific visualization, communication, image compositing
17Chung-Ming Chen, Chung-Ho Chen Configurable VLSI Architecture for Deblocking Filter in H.264/AVC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jen-Wei Hsieh, Yi-Lin Tsai, Tei-Wei Kuo, Tzao-Lin Lee Configurable Flash-Memory Management: Performance versus Overheads. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Concepción Sanz, Manuel Prieto 0001, José Ignacio Gómez, Antonis Papanikolaou, Miguel Miranda, Francky Catthoor Combining system scenarios and configurable memories to tolerate unpredictability. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variability compensation, Process variation, parametric yield
17An Liu, Peng Ning TinyECC: A Configurable Library for Elliptic Curve Cryptography in Wireless Sensor Networks. Search on Bibsonomy IPSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF TinyECC, ECC, public key cryptography, Wireless sensor network security
17Claudio Mucci, Luca Vanzolini, Ilario Mirimin, Daniele Gazzola, Antonio Deledda, Sebastian Goller, Joachim Knäblein, Axel Schneider, Luca Ciccarelli, Fabio Campi Implementation of Parallel LFSR-based Applications on an Adaptive DSP featuring a Pipelined Configurable Gate Array. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Anupam Chattopadhyay, Xiaolin Chen, Harold Ishebabi, Rainer Leupers, Gerd Ascheid, Heinrich Meyr High-level Modelling and Exploration of Coarse-grained Re-configurable Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jingmin Xie, Xiaojun Ye, Bin Li, Feng Xie A Configurable Web Service Performance Testing Framework. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17José Luis Núñez-Yáñez, Eddie Hung, Vassilios A. Chouliaras A configurable and programmable motion estimation processor for the H.264 video codec. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Wagner Vieira Silvério, Janaína Domingues Costa, João Leonardo Fragoso, Julio Leão Silva Jr. Low-area ASIC implementation for configurable coefficients FIR pulse shape filters of digital TV systems. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF communication filters, digital communications, area optimization
17Serino Angellotti, Stefano Monti, Walter Nesci, Alessandro Peciarolo, Giovanni Prodi, Claudio Schellino, Massimo Seminara, Rainer Wuestenhagen Control IP's Sharing: From Configurable Mechatronic Control to Autosar SW-C Package. A New Model Business Scenario across Automotive OEMs and Component Supplier and the New Roles of SW IP's Supplier and SW IP's Integrator. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF X-PRO, Mechatronic, AUTOSAR
17Kevin Koidl, Owen Conlan Engineering Information Systems towards Facilitating Scrutable and Configurable Adaptation. Search on Bibsonomy AH The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Adaptation systems and techniques, intelligent agents for personalization and adaptivity, Personalization
17Fabio Campi, Luca Ciccarelli, Claudio Mucci Sustainable (re-) configurable solutions for the high volume SoC market. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Andrew J. Wong, Warren J. Gross Configurable Flow Models for FPGA Particle Graphics Engines. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Carlos Celorrio, M. Felisa Verdejo An Interoperable, Extensible and Configurable Service Architecture for an Integrated Educational Networking Infrastructure. Search on Bibsonomy ICALT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Qiaoyan Yu, Paul Ampadu Configurable error correction for multi-wire errors in switch-to-switch SOC links. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Joan Vila-Francés, Luis Gómez-Chova, Julia Amorós-López, Javier Calpe-Maravilla Configurable Passband Imaging Spectrometer Based on Acousto-optic Tunable Filter. Search on Bibsonomy ACIVS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yang Sun 0001, Yuming Zhu, Manish Goel, Joseph R. Cavallaro Configurable and scalable high throughput turbo decoder architecture for multiple 4G wireless standards. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yahui Li, Ding Yong, Jianfeng Ma 0001 Secure Message Distribution Scheme with Configurable Privacy for Heterogeneous Wireless Sensor Networks. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17John William Lumley, Roger Gimson, Owen Rees A demonstration of a configurable editing framework. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2008 DBLP  DOI  BibTeX  RDF document editing, functional programming, XSLT, SVG, document construction
17John William Lumley, Roger Gimson, Owen Rees Configurable editing of XML-based variable-data documents. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2008 DBLP  DOI  BibTeX  RDF document editing, functional programming, XSLT, SVG, document construction
17Thomas Kuhn 0001, Reinhard Gotzhein Model-Driven Platform-Specific Testing through Configurable Simulations. Search on Bibsonomy ECMDA-FA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Liang-Jie Zhang, Jia Zhang 0001, Abdul Allam A Method and Case Study of Designing Presentation Module in an SOA-based Solution Using Configurable Architectural Building Blocks (ABBs). Search on Bibsonomy IEEE SCC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Patrick G. Bridges, Gary T. Wong, Matti A. Hiltunen, Richard D. Schlichting, Matthew J. Barrick A configurable and extensible transport protocol. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transport protocol, configuration, customization, extensibility
17Katherine Compton, Scott Hauck Automatic Design of Area-Efficient Configurable ASIC Cores. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF logic design and synthesis, Reconfigurable architecture
17Andy C. Downton, Jingyu He, Simon M. Lucas User-configurable OCR enhancement for online natural history archives. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OCR, Document analysis, Digital archive
17Krishna Santhanam, Kenneth S. Stevens Dynamic gates with hysteresis and configurable noise tolerance. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ann Gordon-Ross, Pablo Viana, Frank Vahid, Walid A. Najjar, Edna Barros A one-shot configurable-cache tuner for improved energy and performance. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Daniele Audino, Federico Baronti, Andrea Lazzeri, Roberto Roncella, Roberto Saletti FPGA/DSP-based Configurable Multi-Channel Counter. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Nataliya Mulyar, Lachlan Aldred, Wil M. P. van der Aalst The Conceptualization of a Configurable Multi-party Multi-message Request-Reply Conversation. Search on Bibsonomy OTM Conferences (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Aries Tao Tao, Jian Yang 0001 Supporting Differentiated ServicesWith Configurable Business Processes. Search on Bibsonomy ICWS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hideya Ochiai, Hiroshi Esaki Architecture of Scalable Embedded Device Management System with Configurable Plug-In Translator. Search on Bibsonomy SAINT Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Mazen A. R. Saghir, Rawan Naous A Configurable Multi-ported Register File Architecture for Soft Processor Cores. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir Supporting multithreading in configurable soft processor cores. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF soft processor cores, multithreading
17Myra B. Cohen, Matthew B. Dwyer, Jiangfan Shi Interaction testing of highly-configurable systems in the presence of constraints. Search on Bibsonomy ISSTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF constraints, SAT, covering arrays, combinatorial interaction testing
17Guoliang Xing, Chenyang Lu 0001, Robert Pless Localized and Configurable Topology Control in Lossy Wireless Sensor Networks. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17R. Frost, D. Rudolph, Christian Galke, René Kothe, Heinrich Theodor Vierhaus A Configurable Modular Test Processor and Scan Controller Architecture. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Somnath Paul, Rajat Subhra Chakraborty, Swarup Bhunia Defect-Aware Configurable Computing in Nanoscale Crossbar for Improved Yield. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jian Cao 0001, Haiyan Zhao, Yujie Mou, Minglu Li 0001 A Goal Driven Configurable Service Workflow Model. Search on Bibsonomy GCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Nidhi Aggarwal, Parthasarathy Ranganathan, Norman P. Jouppi, James E. Smith 0001 Configurable isolation: building high availability systems with commodity multi-core processors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, high availability, fault isolation
17Ann Gordon-Ross, Frank Vahid A Self-Tuning Configurable Cache. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Yajun Ran, Malgorzata Marek-Sadowska Via-Configurable Routing Architectures and Fast Design Mappability Estimation for Regular Fabrics. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17David N. Kwon, Peter F. Driessen, Andrea Basso 0001, Panajotis Agathoklis Performance and computational complexity optimization in configurable hybrid video coding system. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Aleksandra Tesanovic, Mehdi Amirijoo, Jörgen Hansson Providing Configurable QoS Management in Real-Time Systems with QoS Aspect Packages. Search on Bibsonomy T. Aspect-Oriented Software Development The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Konstantinos Sarrigeorgidis, Jan M. Rabaey A Scalable Configurable Architecture for Advanced Wireless Communication Algorithms. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless communication algorithms, low power DSP architectures, SVD, QR, MIMO-OFDM
17Rainer Stuhlberger, Linus Maurer, Christian Wicpalek, Eckart Goehler, Guenter Heinrichs, Jon Winkel, Christian Drewes, Gernot Hueber, Andreas Springer System Design of a Configurable Highly Digital UMTS/NAVSAT RF-Receiver. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Luca Serafini, F. Carrai, Tommaso Ramacciotti, V. Zolesi Multi-sensor configurable platform for automotive applications. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Tero Arpinen, Petri Kukkala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen Configurable multiprocessor platform with RTOS for distributed execution of UML 2.0 designed applications. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Reid B. Porter, Jan R. Frigo, Maya B. Gokhale, Christophe Wolinski, François Charot, Charles Wagner A Run-Time Re-configurable Parametric Architecture for Local Neighborhood Image Processing. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ren Huang, Soo-Ik Chae Implementation of an OpenVG Rasterizer with Configurable Anti-Aliasing and Multi-Window Scissoring. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yi-Lin Tsai, Jen-Wei Hsieh, Tei-Wei Kuo Configurable NAND Flash Translation Layer. Search on Bibsonomy SUTC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Nikolaos Karatzoulis, Ioannis Tsampoulatidis, Ilias Maglogiannis, I. Zormpas, Dimitrios Tzovaras, Michael G. Strintzis Intelligent Configurable Electronic Shop Platform based on Ontologies and 3D Visualization. Search on Bibsonomy AIAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jerker Bengtsson, Bertil Svensson A configurable framework for stream programming exploration in baseband applications. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 4812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license