The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for crosstalk with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1976 (16) 1977-1983 (17) 1984-1990 (19) 1991-1993 (22) 1994-1995 (17) 1996 (16) 1997 (16) 1998 (23) 1999 (40) 2000 (66) 2001 (69) 2002 (92) 2003 (104) 2004 (131) 2005 (116) 2006 (147) 2007 (125) 2008 (118) 2009 (80) 2010 (76) 2011 (67) 2012 (70) 2013 (62) 2014 (79) 2015 (77) 2016 (76) 2017 (77) 2018 (78) 2019 (94) 2020 (80) 2021 (74) 2022 (81) 2023 (78) 2024 (14)
Publication types (Num. hits)
article(912) book(1) incollection(2) inproceedings(1390) phdthesis(12)
Venues (Conferences, Journals, ...)
IEEE Trans. Comput. Aided Des....(101) OFC(84) ISQED(60) IEEE Trans. Commun.(58) IEEE Trans. Very Large Scale I...(56) VLSI Design(49) DATE(48) DAC(41) ICCAD(41) ISCAS(40) ECOC(36) ASP-DAC(35) CoRR(33) ICC(27) ICCD(26) ITC(26) More (+10 of total 502)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 720 occurrences of 377 keywords

Results
Found 2317 publication records. Showing 2317 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
37Wichian Sirisaengtaksin, Sandeep K. Gupta 0001 A Methodology to Compute Bounds on Crosstalk Effects in Arbitrary Interconnects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Marong Phadoongsidhi, Kewal K. Saluja SCINDY: Logic Crosstalk Delay Fault Simulation in Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Luís G. C. Cancela, João J. O. Pires Application of the Saddle Point Method for the Evaluation of the Probability Density Function of Pre-Amplified OOK Systems in the Presence of Intrachannel Crosstalk. Search on Bibsonomy AICT/SAPIR/ELETE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Zahid Khan, Tughrul Arslan, Ahmet T. Erdogan A Dual Low Power and Crosstalk Immune Encoding Scheme for System-on-Chip Buses. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Matheos Lampropoulos, Bashir M. Al-Hashimi, Paul M. Rosinger Minimization of Crosstalk Noise, Delay and Power Using a Modified Bus Invert Technique. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Ruiming Chen, Hai Zhou 0001 Timing macro-modeling of IP blocks with crosstalk. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Debjit Sinha, Hai Zhou 0001 Gate sizing for crosstalk reduction under timing constraints by Lagrangian relaxation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Sunil Yu, Dusan Petranovic, Shoba Krishnan, Kwyro Lee, Cary Y. Yang Resistance Matrix in Crosstalk Modeling for Muliconductor Systems. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Suvodeep Gupta, Srinivas Katkoori Intra-Bus Crosstalk Estimation Using Word-Level Statistics. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37O. Milter, Avinoam Kolodny Crosstalk noise reduction in synthesized digital logic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37José Luis Rosselló, Jaume Segura 0001 A Compact Charge-Based Crosstalk Induced Delay Model for Submicronic CMOS Gates. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Hai Zhou 0001 Timing Verification with Crosstalk for Transparently Latched Circuits. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Tsung-Yi Ho, Yao-Wen Chang, Sao-Jie Chen, D. T. Lee A Fast Crosstalk- and Performance-Driven Multilevel Routing System. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Venkatesan Rajappan, Sachin S. Sapatnekar An Efficient Algorithm for Calculating the Worst-case Delay due to Crosstalk. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Marong Phadoongsidhi, Kewal K. Saluja Event-Centric Simulation of Crosstalk Pulse Faults in Sequential Circuits. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Are Hjørungnes, Paulo S. R. Diniz, Marcello L. R. de Campos Jointly minimum MSE transmitter and receiver FIR MIMO filters in the presence of near-end crosstalk and additive noise. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Daniele Rossi 0001, S. Cavallotti, Cecilia Metra Error Correcting Codes for Crosstalk Effect Minimization. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Wei-Yu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Analytical models for crosstalk excitation and propagation in VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Fabrice Picot, Philippe Coll, Daniel Auvergne Crosstalk Measurement Technique for CMOS ICs. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Ming Shae Wu, Chung-Len Lee 0001, Chi Peng Chang, Jwu E. Chen A Testing Scheme for Crosstalk Faults Based on the Oscillation Test Signal. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37I-De Huang, Sandeep K. Gupta 0001, Melvin A. Breuer Accurate and Efficient Static Timing Analysis with Crosstalk. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Li Ding 0002, Pinaki Mazumder, David T. Blaauw Crosstalk noise estimation using effective coupling capacitance. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Shahin Nazarian, Hang Huang, Suriyaprakash Natarajan, Sandeep K. Gupta 0001, Melvin A. Breuer XIDEN: Crosstalk Target Identification Framework. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Hai Zhou 0001 Clock schedule verification with crosstalk. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF verification, delay, coupling, clock schedule
37Herng-Jer Lee, Chia-Chi Chu, Wu-Shiung Feng Crosstalk estimation in high-speed VLSI interconnect using coupled RLC-tree models. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Hsiao-Ping Tseng, Louis Scheffer, Carl Sechen Timing- and crosstalk-driven area routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Sachin S. Sapatnekar A timing model incorporating the effect of crosstalk on delay andits application to optimal channel routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Kei Hirose, Hiroto Yasuura A Bus Delay Reduction Technique Considering Crosstalk. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Chauchin Su, Yue-Tsang Chen, Mu-Jeng Huang, Gen-Nan Chen, Chung-Len Lee 0001 All Digital Built-in Delay and Crosstalk Measurement for On-Chip Buses. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Tong Xiao, Malgorzata Marek-Sadowska Worst Delay Estimation in Crosstalk Aware Static Timing Analysis. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Sachin S. Sapatnekar Capturing the Effect of Crosstalk on Delay. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Ashok Vittal, Lauren Hui Chen, Malgorzata Marek-Sadowska, Kai-Ping Wang, Sherry Yang Crosstalk in VLSI interconnections. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Tong Xiao, Malgorzata Marek-Sadowska Crosstalk Reduction by Transistor Sizing. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Yen-Tai Lai, Chi-Chou Kao, Wu-Chien Shieh A quadratic programming method for interconnection crosstalk minimization. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Michael A. Margolese, F. Joel Ferguson Using Temporal Constraints for Eliminating Crosstalk Candidates for Design and Test. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Ashok Vittal, Lauren Hui Chen, Malgorzata Marek-Sadowska, Kai-Ping Wang, Sherry Yang Modeling Crosstalk in Resistive VLSI Interconnections. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Cecilia Metra, Michele Favalli, Bruno Riccò On-line detection of logic errors due to crosstalk, delay, and transient faults. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Tom Hameenanttila, Jo Dale Carothers, Donghui Li Fast coupled noise estimation for crosstalk avoidance in the MCG multichip module autorouter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
37Desmond Kirkpatrick, Alberto L. Sangiovanni-Vincentelli Techniques for crosstalk avoidance in the physical design of high-performance digital systems. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
37Tong Gao, C. L. Liu 0001 Minimum crosstalk switchbox routing. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
35Chunjie Duan, Chengyu Zhu, Sunil P. Khatri Forbidden transition free crosstalk avoidance CODEC design. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crosstalk, CODEC, on-chip bus, Fibonacci number
35Katherine Shu-Min Li, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen IEEE Standard 1500 Compatible Oscillation Ring Test Methodology for Interconnect Delay and Crosstalk Detection. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF oscillation ring (OR) test scheme, open faults, crosstalk glitches, IEEE P1500, wrapper cell design, stuck-at faults, delay faults, SOC testing, interconnect test
35Arthur Pereira Frantz, Fernanda Lima Kastensmidt, Luigi Carro, Érika F. Cota Evaluation of SEU and crosstalk effects in network-on-chip switches. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network-on-chip, crosstalk, single-event upset
35Daniele Rossi 0001, André K. Nieuwland, Atul Katoch, Cecilia Metra New ECC for Crosstalk Impact Minimization. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault Tolerance, Reliability, Error Correcting Codes, Crosstalk, Signal Integrity
35Gabriella Trucco, Giorgio Boselli, Valentino Liberali An approach to computer simulation of bonding and package crosstalk in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
35Jonathan Bradford, Hartmut Delong, Ilia Polian, Bernd Becker 0001 Simulating Realistic Bridging and Crosstalk Faults in an Industrial Setting. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault simulation, crosstalk, industrial experiences, defect-based testing
35Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj Post-route gate sizing for crosstalk noise reduction. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF crosstalk noise repair, gate sizing
35Wei-Yu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test Generation for Crosstalk-Induced Faults: Framework and Computational Results. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF time-based test generation, fault modeling, crosstalk, mixed-signal test
35Cecilia Metra, Michele Favalli, Bruno Riccò Self-Checking Detection and Diagnosis of Transient, Delay, and Crosstalk Faults Affecting Bus Lines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bus lines, diagnosis, transient faults, On-line testing, delay faults, self-checking, crosstalk faults
35Zemo Yang, Samiha Mourad Crosstalk in Deep Submicron DRAMs. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Noise and Submicron, Crosstalk, DRAM
33Rajeev C. Nongpiur, Dale J. Shpak, Andreas Antoniou Average power sum of the near-end crosstalk couplings after near-end crosstalk cancellation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Rodrigo B. Moraes, Boris Dortschy, Aldebaro Klautau, Jaume Rius i Riu Semi-Blind Power Allocation for Digital Subscriber Lines. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Amir Leshem, Eitan Sayag, Nicholas D. Sidiropoulos Fixed point error analysis of linear multichannel precoding for VDSL. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Arpan Banerjee, Viktor K. Jirsa How do neural connectivity and time delays influence bimanual coordination? Search on Bibsonomy Biol. Cybern. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Manuel Giuliani, Tin Lay Nwe, Haizhou Li 0001 Meeting Segmentation Using Two-Layer Cascaded Subband Filters. Search on Bibsonomy ISCSLP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Sreeram Chandrasekar, Gaurav Kumar Varshney, V. Visvanathan A Comprehensive Methodology for Noise Characterization of ASIC Cell Libraries. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Patrick J. La Rivière, Xiaochuan Pan Sampling and aliasing consequences of quarter-detector offset use in helical CT. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Martin Klesen, Michael Kipp, Patrick Gebhard, Thomas Rist Staging exhibitions: methods and tools for modelling narrative structure to produce interactive performances with virtual actors. Search on Bibsonomy Virtual Real. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Authoring, Embodied agents, User adaptivity, Believability, Virtual theater
33Ming-Fu Hsiao, Malgorzata Marek-Sadowska, Sao-Jie Chen Minimizing coupling jitter by buffer resizing for coupled clock networks. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Mehdi M. Mechaik Electrical Characterization of Signal Routability and Performance. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Nagarajan Ranganathan, Upavan Gupta, Venkataraman Mahalingam Variation-aware multimetric optimization during gate sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, delay, power, mathematical programming, Gate sizing, crosstalk noise
31Ming-Jye Lee, Chi-Chang Chen, Chien-Ning Yeh Permutation Routing in All-Optical Multistage Clos Network. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optical Crosstalk, Rearrangeable non-blocking, Paull’s Matrix, Edge Coloring, Clos network
31Minjin Zhang, Huawei Li 0001, Xiaowei Li 0001 Multiple Coupling Effects Oriented Path Delay Test Generation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crosstalk, delay test, path delay fault
31Dongchul Kim, Taehoon Kim, Jung-A Lee, Yungseon Eo Experimental Characterisations of Coupled Transmission Lines. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect lines, signal transient, crosstalk, transmission line, s-parameters
31Hanif Fatemi, Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Emre Tuncer SACI: statistical static timing analysis of coupled interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF coupled interconnect, sources of variation, crosstalk noise, statistical timing analysis
31Tao Wu, Arun K. Somani Cross-talk attack monitoring and localization in all-optical networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF diagnosability of attacks, sparse monitoring, attack, crosstalk, all-optical network
31Ken Tseng, Vinod Kariat Static noise analysis with noise windows. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF noise, crosstalk, signal integrity
31Raguraman Venkatesan, Jeffrey A. Davis, James D. Meindl A physical model for the transient response of capacitively loaded distributed rlc interconnects. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed rlc lines, overshoot, interconnects, crosstalk, time delay, repeaters, transient response
31Xiaojun Shen, Fan Yang, Yi Pan 0001 Equivalent permutation capabilities between time-division optical omega networks and non-optical extra-stage omega networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF crosstalk-free connection, dilated MIN, time-domain approach, optical switch, Omega network, Conflict graph
31Lieven Vandenberghe, Stephen P. Boyd, Abbas El Gamal Optimal wire and transistor sizing for circuits with non-tree topology. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF optimal circuit sizing, crosstalk, Elmore delay, clock distribution networks
31Desmond Kirkpatrick, Alberto L. Sangiovanni-Vincentelli Digital sensitivity: predicting signal interaction using functional analysis. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF layout synthesis, Crosstalk, sensitivity, signal integrity
29Kathirgamar Aingaran, Fabian Klass, Chin-Man Kim, Chaim Amir, Joydeep Mitra, Eileen You, Jamil Mohd, Sai-keung Dong Coupling Noise Analysis for VLIS and ULSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Crosstalk Analysis, Crosstalk Modeling, Noise
29Kunal P. Ganeshpure, Sandip Kundu An ILP Based ATPG Technique for Multiple Aggressor Crosstalk Faults Considering the Effects of Gate Delays. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Brajesh Kumar Kaushik, Sankar Sarkar Crosstalk Analysis for a CMOS-Gate-Driven Coupled Interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Taehoon Kim, Yungseon Eo Analytical CAD Models for the Signal Transients and Crosstalk Noise of Inductance-Effect-Prominent Multicoupled RLC Interconnect Lines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Basel Halak, Santosh Shedabale, Hiran Ramakrishnan, Alexandre Yakovlev, Gordon Russell 0002 The impact of variability on the reliability of long on-chip interconnect in the presence of crosstalk. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cross-talk, interconnect, variability, Bit Error Rate(BER)
29Chuan Lin 0002, Hai Zhou 0001 Tradeoff Between Latch and Flop for Min-Period Sequential Circuit Designs With Crosstalk. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Guanglei Liu, Chuanyi Ji Resilience of all-optical network architectures under in-band crosstalk attacks: a probabilistic graphical model approach. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Brajesh Kumar Kaushik, Sankar Sarkar, Rajendra Prasad Agarwal, Ramesh C. Joshi Crosstalk Analysis of an Inductively and Capacitively Coupled Interconnect Driven by a CMOS Gate. Search on Bibsonomy ICIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Chun Rong Huang, Shih-Fu Hsieh Robust 3-D Crosstalk Canceller Design. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Boyan Semerdjiev, Dimitrios Velenis Efficient Insertion of Crosstalk Shielding along On-Chip Interconnect Trees. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Boyan Semerdjiev, Dimitrios Velenis Optimal Crosstalk Shielding Insertion along On-Chip Interconnect Trees. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29David Johan Christensen, David Brandt, Ulrik Pagh Schultz, Kasper Støy Neighbor detection and crosstalk elimination in self-reconfigurable robots. Search on Bibsonomy ROBOCOMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Kanak Agarwal, Dennis Sylvester, David T. Blaauw Modeling and analysis of crosstalk noise in coupled RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Pyoungwoo Min, Hyunbean Yi, Jaehoon Song, Sanghyeon Baeg, Sungju Park Efficient Interconnect Test Patterns for Crosstalk and Static Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Itisha Chanodia, Dimitrios Velenis Effects of Parameter Variations and Crosstalk Noise on H-Tree Clock Distribution Networks. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Qinghao Meng, Qiong Liang, Juncai Li Frequency-Hopping Pseudo-Random Pulse Width Modulation to Eliminate Crosstalk of Sonar Sensors in Mobile Robots. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29José Luis Rosselló, Jaume Segura 0001 A compact model to identify delay faults due to crosstalk. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Arthur Pereira Frantz, Luigi Carro, Érika F. Cota, Fernanda Lima Kastensmidt Evaluating SEU and Crosstalk Effects in Network-on-Chip Routers. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Jean-Marc Philippe, Sébastien Pillement, Olivier Sentieys Area Efficient Temporal Coding Schemes for Reducing Crosstalk Effects. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Huawei Li 0001, Pei-Fu Shen, Xiaowei Li 0001 Robust Test Generation for Precise Crosstalk-induced Path Delay Faults. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Vineetha Kalavally, Tin Win, Malin Premaratne Crosstalk in Counter-Pumped Distributed Raman Amplifiers with DTDM pumping. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Jun He 0010, Maïté Brandt-Pearce Dynamic Wavelength Assignment Using Wavelength Spectrum Separation for Crosstalk Limited Networks. Search on Bibsonomy BROADNETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Amit Kumar 0022, Noriyuki Miura, Muhammad Muqsith, Tadahiro Kuroda Active Crosstalk Cancel for High-Density Inductive Inter-chip Wireless Communication. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29K. A. Rajagopal, R. Sivakumar, N. V. Arvind, C. Sreeram, Vish Visvanathan, Shailendra Dhuri, Roopesh Chander, Patrick Fortner, Subra Sripada, Qiuyang Wu A Comprehensive Solution for True Hierarchical Timing and Crosstalk Delay Signoff. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Qingli Zhang, Jinxiang Wang 0001, Yizheng Ye Low-Power Crosstalk Avoidance Encoding for On-Chip Data Buses. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Suvodeep Gupta, Srinivas Katkoori Intrabus crosstalk estimation using word-level statistics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29A. Homayoun Kamkar-Parsi, Martin Bouchard 0001, Gilles Bessens, Tet Hin Yeap A wideband crosstalk canceller for xDSL using common-mode information. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Jinjun Xiong, Lei He 0001 Probabilistic congestion model considering shielding for crosstalk reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2317 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license