The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for datapath with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (20) 1991-1993 (19) 1994-1995 (35) 1996 (22) 1997 (24) 1998 (29) 1999 (34) 2000 (59) 2001 (31) 2002 (51) 2003 (76) 2004 (65) 2005 (65) 2006 (68) 2007 (72) 2008 (69) 2009 (39) 2010 (22) 2011 (15) 2012 (15) 2013 (17) 2014 (23) 2015 (21) 2016 (21) 2017 (15) 2018-2019 (27) 2020-2021 (18) 2022-2023 (31) 2024 (5)
Publication types (Num. hits)
article(262) book(1) inproceedings(738) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 689 occurrences of 454 keywords

Results
Found 1008 publication records. Showing 1008 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Hua Li, Jianzhou Li A New Compact Architecture for AES with Optimized ShiftRows Operation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jiang Long, Andrew Seawright Synthesizing SVA Local Variables for Formal Verification. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Vyas Krishnan, Srinivas Katkoori A genetic algorithm for the design space exploration of datapaths during high-level synthesis. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Knockaert Radecka, Zeljko Zilic Arithmetic transforms for compositions of sequential and imprecise datapaths. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Salvatore Carta, Danilo Pani, Luigi Raffo Reconfigurable Coprocessor for Multimedia Application Domain. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multimedia, reconfigurable computing, digital signal processing, domain-specific architectures
18Joseph J. Sharkey, Deniz Balkan, Dmitry Ponomarev 0001 Adaptive reorder buffers for SMT processors. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simultaneous multithreading, reorder buffer
18Jaan Raik, Raimund Ubar, Taavi Viilukas High-Level Decision Diagram based Fault Models for Targeting FSMs. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Harmander Deogun, Dennis Sylvester, Kevin J. Nowka Fine grained multi-threshold CMOS for enhanced leakage reduction. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Gagan Raj Gupta, Madhur Gupta, Preeti Ranjan Panda Rapid estimation of control delay from high-level specifications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF control delay, high level synthesis, estimation, FSM
18Jason T. Higgins, Mark D. Aagaard Simplifying the design and automating the verification of pipelines with structural hazards. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF formal design verification, design automation, Pipelined circuits
18Vinu Vijay Kumar, John C. Lach Highly flexible multi-mode system synthesis. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multi-mode synthesis, reconfigurability, adaptable systems
18Namrata Shekhar, Priyank Kalla, Sivaram Gopalakrishnan, Florian Enescu Exploiting Vanishing Polynomials for Equivalence Veri.cation of Fixed-Size Arithmetic Datapaths. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Koji Ohashi, Mineo Kaneko Statistical Analysis Driven Synthesis of Asynchronous Systems. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Zhaojun Wo, Israel Koren, Maciej J. Ciesielski An ILP Formulation for Yield-driven Architectural Synthesis. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Andrei Sergeevich Terechko, Manish Garg, Henk Corporaal Evaluation of Speed and Area of Clustered VLIW Processors. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18David Moloney, Dermot Geraghty, Colm McSweeney, Ciarán McElroy Streaming Sparse Matrix Compression/Decompression. Search on Bibsonomy HiPEAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jennifer L. Wong, Farinaz Koushanfar, Miodrag Potkonjak Flexible ASIC: shared masking for multiple media processors. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, interconnect, ASIC
18Ivan Blunno, Luciano Lavagno Designing an asynchronous microcontroller using Pipefitter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Subhasish Mitra, Nirmal R. Saxena, Edward J. McCluskey Efficient Design Diversity Estimation for Combinational Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF common-mode failures, reliability, fault-tolerant computing, dependability, Error detection, design diversity
18Sune Fallgaard Nielsen, Jens Sparsø, Jan Madsen Towards Behavioral Synthesis of Asynchronous Circuits - An Implementation Template Targeting Syntax Directed Compilation. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yuichiro Miyaoka, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki A cosynthesis algorithm for application specific processors with heterogeneous datapaths. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Ashok K. Murugavel, N. Ranganathan A game theoretic approach for power optimization during behavioral synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Amit Singh 0001, Arindam Mukherjee 0001, Luca Macchiarulo, Malgorzata Marek-Sadowska PITIA: an FPGA for throughput-intensive applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Nam Ling, Nien-Tsu Wang A Real-Time Video Decoder for Digital HDTV. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF HDTV video decoder, MPEG-2 MP@HL decoder, digital TV, HDTV, video decoding
18Hoang Q. Dao, Bart R. Zeydel, Vojin G. Oklobdzija Energy Optimization of High-Performance Circuits. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Chandramouli Gopalakrishnan, Srinivas Katkoori An Architectural Leakage Power Simulator for VHDL Structural Datapaths. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18María C. Molina, José M. Mendías, Román Hermida Multiple-Precision Circuits Allocation Independent of Data-Objects Length. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Katarzyna Radecka, Zeljko Zilic Specifying and verifying imprecise sequential datapaths by Arithmetic Transforms. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Ruby B. Lee, A. Murat Fiskiran, Zhijie Shi, Xiao Yang 0001 Refining Instruction Set Architecture for High-Performance Multimedia Processing in Constrained Environments. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Zhining Huang, Sharad Malik Exploiting operation level parallelism through dynamically reconfigurable datapaths. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Subhasish Mitra, Nirmal R. Saxena, Edward J. McCluskey Techniques for Estimation of Design Diversity for Combinational Logic Circuits. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Nektarios Kranitis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian An Effective Deterministic BIST Scheme for Shifter/Accumulator Pairs in Datapaths. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Sujatha Sundararaman, Sriram Govindarajan, Ranga Vemuri Application Specific Macro Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A framework for testability analysis and optimization forbuilt-in self-test of RTL circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18M. Balakrishnan, Heman Khanna Allocation of FIFO structures in RTL data paths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synthesis, RTL, ILP, FIFO, data path
18Alessandro Bogliolo, Enrico Macii, Virgil Mihailovici, Massimo Poncino Power Models for Semi-autonomous RTL Macros. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Joan Carletta, Christos A. Papachristou, Mehrdad Nourani Detecting Undetectable Controller Faults Using Power Analysis. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Dimitris Gizopoulos, Nektarios Kranitis, Mihalis Psarakis, Antonis M. Paschalis, Yervant Zorian Effective Low Power BIST for Datapaths. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Hans M. Jacobson, Erik Brunvand, Ganesh Gopalakrishnan, Prabhakar Kudva High-Level Asynchronous System Design Using the ACK Framework. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Luca Benini, Alessandro Bogliolo, Enrico Macii, Massimo Poncino, Mihai Surmei Regression-based RTL power models for controllers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Dimitris Gizopoulos, Nektarios Kranitis, Mihalis Psarakis, Antonis M. Paschalis, Yervant Zorian Low Power/Energy BIST Scheme for Datapaths. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Wu Ye, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin The design and use of simplepower: a cycle-accurate energy estimation tool. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Bojana Obrenic, Martin C. Herbordt, Arnold L. Rosenberg, Charles C. Weems Using Emulations to Enhance the Performance of Parallel Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallel algorithms, Parallel architecture, multiprocessor interconnection
18Christos A. Papachristou, Yusuf Alzazeri A Method of Distributed Controller Design for RTL Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A Framework for Testability Analysis and Optimizationb of RTL Circuits for BIST. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Alex Orailoglu On-Line Fault Resilience Through Gracefully Degradable ASICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fault tolerant ICs, reconfigurable ASICs, high level synthesis, on-line test, graceful degradation
18Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Effective Built-In Self-Test for Booth Multipliers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Booth multipliers, Built-In Self Test, design for testability, data paths
18Maya B. Gokhale, Janice M. Stone NAPA C: Compiling for a Hybrid RISC/FPGA Architecture. Search on Bibsonomy FCCM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18James H. Kukula, Thomas R. Shiple, Adnan Aziz Techniques for Implicit State Enumeration of EFSMs. Search on Bibsonomy FMCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Srinivasa Rao Arikati, Ravi Varadarajan A signature based approach to regularity extraction. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF regular structure extraction, Physical design
18Chih-Tung Chen, Kayhan Küçükçakar High-level scheduling model and control synthesis for a broad range of design applications. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multi-phase clocking, relative scheduling, architectural power optimization, high-level synthesis, pipelining, multi-threading, behavioral synthesis, control synthesis, architectural synthesis, scheduling model
18Paul E. Landman, Jan M. Rabaey Activity-sensitive architectural power analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Jinn-Wang Yeh, Wen-Jiunn Cheng, Chein-Wei Jen VASS - A VLSI array system synthesizer. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Reiner W. Hartenstein, Jürgen Becker 0001, Rainer Kress 0002 Two-Level Hardware/Software Partitioning Using CoDe-X. Search on Bibsonomy ECBS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Reiner W. Hartenstein, Jürgen Becker 0001, Rainer Kress 0002 Two-level Partitioning of Image Processing Algorithms for the Parallel Map-oriented Machine. Search on Bibsonomy CODES The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Reiner W. Hartenstein, Jürgen Becker 0001, Rainer Kress 0002, Helmut Reinig CoDe-C: A Novel Two-Level Hardware/Software Co-Design Framework. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18John A. Nestor Visual register-transfer description of VLSI microarchitectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17William B. Toms, David A. Edwards A Complete Synthesis Method for Block-Level Relaxation in Self-Timed Datapaths. Search on Bibsonomy ACSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Asynchronous Combinational Logic Synthesis, Datapath Synthesis
17Keisuke Inoue, Mineo Kaneko A novel resource sharing model and high-level synthesis for delay variability-tolerant datapaths. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ordered clocking, resource assignment, datapath synthesis
17Ronny Krashinsky, Christopher Batten, Krste Asanovic Implementing the scale vector-thread processor. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hybrid C++/Verilog simulation, iterative VLSI design flow, procedural datapath pre-placement, vector-thread processors, multithreaded processors, Vector processors
17George Xenoulis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Testability Analysis and Scalable Test Generation for High-Speed Floating-Point Units. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF testability conditions, datapath testing, floating-point unit testing, Test generation, processor testing
17Hideyuki Ichihara, Tomoo Inoue, Naoki Okamoto, Toshinori Hosokawa, Hideo Fujiwara An Effective Design for Hierarchical Test Generation Based on Strong Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Hierarchical test generation, strong testability, datapath, test plan
17Sebastian Wallner A Reconfigurable Multi-threaded Architecture Model. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Computation Threads, Hardware Virtualization, Datapath Processor, Pipelining, Reconfigurable Architectures
17Dmitry Ponomarev 0001, Gurhan Kucuk, Oguz Ergin, Kanad Ghose Power efficient comparators for long arguments in superscalar processors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power comparators, superscalar datapath
17Amitabh Menon, S. K. Nandy 0001, Mahesh Mehendale Multivoltage scheduling with voltage-partitioned variable storage. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multivoltage, high level synthesis, datapath synthesis
17Gurhan Kucuk, Dmitry Ponomarev 0001, Oguz Ergin, Kanad Ghose Reducing reorder buffer complexity through selective operand caching. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-complexity datapath, short-lived values, low-power design, reorder buffer
17Laurence Goodby, Alex Orailoglu, Paul M. Chau Microarchitectural synthesis of performance-constrained, low-power VLSI designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DSP datapath design, High-level synthesis, low-power design
17Robert Schreiber, Shail Aditya, Scott A. Mahlke, Vinod Kathail, B. Ramakrishna Rau, Darren C. Cronquist, Mukund Sivaraman PICO-NPA: High-Level Synthesis of Nonprogrammable Hardware Accelerators. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high-level hardware synthesis, automatic parallelization, datapath synthesis
17Gurhan Kucuk, Dmitry Ponomarev 0001, Kanad Ghose Low-complexity reorder buffer architecture. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low-complexity datapath, low-power design, reorder buffer
17Gurhan Kucuk, Kanad Ghose, Dmitry Ponomarev 0001, Peter M. Kogge Energy: efficient instruction dispatch buffer design for superscalar processors. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF bitline segmentation, low power comparator, low power instruction scheduling, low-power superscalar datapath
17V. S. Gierenz, Oliver Weiss, Tobias G. Noll, I. Carew, Jonathan J. Ashley, Razmik Karabed A 550 Mb/s Radix-4 Bit-level Pipelined 16-State 0.25-mu m CMOS Viterbi Decoder. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF disk-drive read channels, high-speed Viterbi decoder, radix-4 add-compare-select, bit-level pipelining, full-custom macros, datapath generator assisted design, 0.25 micron, 550 MHz, CMOS technology, redundant number representations
17David Berthelot, Marie-Lise Flottes, Bruno Rouzeyre BISTing Datapaths under Heterogeneous Test Schemes. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF RT level, BIST, datapath, test synthesis
17Mark C. Johnson, Kaushik Roy 0001 Optimal Selection of Supply Voltages and Level Conversions During Data Path Scheduling Under Resource Constraints. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF level conversion, voltage selection, scheduling, low-power, synthesis, DSP, ILP, resource constraints, datapath
17Jin-O. Seo, Mingoo Seok, SeongHwan Cho A 44.2-TOPS/W CNN Processor With Variation-Tolerant Analog Datapath and Variation Compensating Circuit. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Junxue Zhang 0001, Chaoliang Zeng, Hong Zhang 0025, Shuihai Hu, Kai Chen 0005 LiteFlow: Toward High-Performance Adaptive Neural Networks for Kernel Datapath. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Kari Hepola, Joonas Multanen, Pekka Jääskeläinen Energy-Efficient Exposed Datapath Architecture With a RISC-V Instruction Set Mode. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Xuzheng Chen, Jie Zhang, Ting Fu, Yifan Shen, Shu Ma, Kun Qian, Lingjun Zhu, Chao Shi, Yin Zhang, Ming Liu, Zeke Wang Demystifying Datapath Accelerator Enhanced Off-path SmartNIC. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Mari Yasunaga, Junnosuke Suzuki, Masato Watanabe, Kazushi Kawamura, Thiem Van Chu, Masato Motomura High Throughput Datapath Design for Vision Permutator FPGA Accelerator. Search on Bibsonomy ICCE The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Aditya Anshul, Anirban Sengupta PSO based exploration of multi-phase encryption based secured image processing filter hardware IP core datapath during high level synthesis. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jun-Seok Park, Changsoo Park, Suknam Kwon, Taeho Jeon, Yesung Kang, Heonsoo Lee, Dongwoo Lee, James Kim, Hyeong-Seok Kim, YoungJong Lee, Sangkyu Park, MinSeong Kim, Sanghyuck Ha, Jihoon Bang, Jinpyo Park, Sukhwan Lim, Inyup Kang A Multi-Mode 8k-MAC HW-Utilization-Aware Neural Processing Unit With a Unified Multi-Precision Datapath in 4-nm Flagship Mobile SoC. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Giovanni Quintarelli, Matteo Bertolucci, Pietro Nannipieri Design and Implementation of a DVB-S2 Reconfigurable Datapath BCH Encoder for High Data-Rate Payload Data Telemetry. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Pedro Tauã Lopes Pereira, Guilherme Paim, Eduardo Antônio César da Costa, Sérgio Jose Melo de Almeida, Sergio Bampi ReAdapt: A Reconfigurable Datapath for Runtime Energy-Quality Scalable Adaptive Filters. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ionel Zagan, Vasile Gheorghita Gaitan Soft-core processor integration based on different instruction set architectures and field programmable gate array custom datapath implementation. Search on Bibsonomy PeerJ Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Steven Lyons, Raju Rangaswami, Ning Xie Finding optimal non-datapath caching strategies via network flow. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Samuel Coward, George A. Constantinides, Theo Drane Automating Constraint-Aware Datapath Optimization using E-Graphs. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Samuel Coward, Emiliano Morini, Bryan Tan, Theo Drane, George A. Constantinides Datapath Verification via Word-Level E-Graph Rewriting. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Hongyu Fan, Fei He 0001 Leveraging Datapath Propagation in IC3 for Hardware Model Checking. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Denis Salopek, Miljenko Mikuc Enhancing Mitigation of Volumetric DDoS Attacks: A Hybrid FPGA/Software Filtering Datapath. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Katharina Ruep, Daniel Große Improving Design Understanding of Processors leveraging Datapath Clustering. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Dushyant Behl, Hai Huang 0002, Palanivel A. Kodeswaran, Sayandeep Sen On eBPF extensions to Kubernetes CNI datapath#. Search on Bibsonomy COMSNETS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Junnosuke Suzuki, Jaehoon Yu, Mari Yasunaga, Ángel López García-Arias, Yasuyuki Okoshi, Shungo Kumazawa, Kota Ando, Kazushi Kawamura, Thiem Van Chu, Masato Motomura Pianissimo: A Sub-mW Class DNN Accelerator with Progressive Bit-by-Bit Datapath Architecture for Adaptive Inference at Edge. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Zhihan Chen, Xindi Zhang, Yuhang Qian, Qiang Xu 0001, Shaowei Cai 0001 Integrating Exact Simulation into Sweeping for Datapath Combinational Equivalence Checking. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Samuel Coward, Emiliano Morini, Bryan Tan, Theo Drane, George A. Constantinides Datapath Verification via Word-Level E-Graph Rewriting. Search on Bibsonomy FMCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Johannes Knödtel, Marc Reichenbach Datapath Optimization for Embedded Signal Processing Architectures utilizing Design Space Exploration. Search on Bibsonomy DroneSE/RAPIDO@HiPEAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ecenur Ustun, Cunxi Yu, Zhiru Zhang Equality Saturation for Datapath Synthesis: A Pathway to Pareto Optimality. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Samuel Coward, George A. Constantinides, Theo Drane Automating Constraint-Aware Datapath Optimization using E-Graphs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Seyedeh Fatemeh Ghamkhari, Mohammad Bagher Ghaznavi Ghoushchi A power-performance partitioning approach for low-power DA-based FIR filter design with emphasis on datapath and controller. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Samuel Coward, George A. Constantinides, Theo Drane Automatic Datapath Optimization using E-Graphs. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Haiyang Lin, Mingyu Yan, Duo Wang, Mo Zou, Fengbin Tu, Xiaochun Ye, Dongrui Fan, Yuan Xie 0001 Alleviating Datapath Conflicts and Design Centralization in Graph Analytics Acceleration. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
17Donghyun Kang, Soonhoi Ha Datapath Extension of NPUs to Support Nonconvolutional Layers Efficiently. Search on Bibsonomy IEEE Des. Test The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1008 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license