The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for fanout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1986 (15) 1987-1991 (19) 1992-1993 (15) 1994-1995 (15) 1996-1998 (32) 1999 (17) 2000-2001 (24) 2002 (18) 2003-2004 (35) 2005 (18) 2006 (16) 2007 (15) 2008-2009 (20) 2010-2017 (15) 2018-2023 (18) 2024 (2)
Publication types (Num. hits)
article(96) inproceedings(198)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 300 occurrences of 223 keywords

Results
Found 294 publication records. Showing 294 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Stefan Blom, Simona Orzan Distributed state space minimization. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Distributed verification, Bisimulation equivalence
12Xinyu Guo, Carl Sechen High Speed Redundant Adder and Divider in Output Prediction Logic. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jay Kumar Sundararajan, Supratim Deb, Muriel Médard Extending the Birkhoff-Von Neumann Switching Strategy to Multicast Switches. Search on Bibsonomy NETWORKING The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki, Shinji Kimura Low Power Test Compression Technique for Designs with Multiple Scan Chain. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Emil Axelsson, Koen Claessen, Mary Sheeran Wired: Wire-Aware Circuit Design. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Mariam Momenzadeh, Marco Ottavi, Fabrizio Lombardi Modeling QCA Defects at Molecular-level in Combinational Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault model, emerging technology, defect tolerance, QCA
12Yukinori Sato, Ken-ichi Suzuki, Tadao Nakamura Cooperation of Neighboring PEs in Clustered Architectures. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kartik Mohanram Closed-Form Simulation and Robustness Models for SEU-Tolerant Design. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Karthik Pattabiraman, Zbigniew Kalbarczyk, Ravishankar K. Iyer Application-Based Metrics for Strategic Placement of Detectors. Search on Bibsonomy PRDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Fatih Kocan, Mehmet Hadi Gunes Acyclic circuit partitioning for path delay fault emulation. Search on Bibsonomy AICCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sumio Morioka, Akashi Satoh A 10-Gbps full-AES crypto design with a twisted BDD S-Box architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jason Helge Anderson, Farid N. Najm Power estimation techniques for FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Hanan Samet Decoupling partitioning and grouping: Overcoming shortcomings of spatial indexing with bucketing. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BV-trees, PK-trees, space decomposition, R-trees, decoupling, Spatial indexing, object hierarchies
12Matthew M. Ziegler, Mircea R. Stan A Unified Design Space for Regular Parallel Prefix Adders. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Kogge-Stone adder, Han-Carlson adder, Brent-Kung adder, parallel prefix adder
12Saravanan Padmanaban, Spyros Tragoudas Using BDDs and ZBDDs for Efficient Identification of Testable Path Delay Faults. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Maher N. Mneimneh, Karem A. Sakallah, John Moondanos Preserving synchronizing sequences of sequential circuits after retiming. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jason Helge Anderson, Farid N. Najm Interconnect capacitance estimation for FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Balkaran S. Gill, Christos A. Papachristou, Francis G. Wolff Soft Delay Error Effects in CMOS Combinational Circuits. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Soft delay, single event upsets (SEUs), soft error rate (SER), soft errors
12Anders Gunnar, Mikael Johansson 0001, Thomas Telkamp Traffic matrix estimation on a large IP backbone: a comparison on real data. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, MPLS, SNMP, traffic matrix estimation
12Tatsuhiro Tsuchiya, Tohru Kikuno An Adaptive Mechanism for Epidemic Communication. Search on Bibsonomy BioADIT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12José Pereira 0001, Luís E. T. Rodrigues, Alexandre S. Pinto, Rui Oliveira 0001 Low Latency Probabilistic Broadcast in Wide Area Networks. Search on Bibsonomy SRDS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ingmar Neumann, Wolfgang Kunz Layout driven retiming using the coupled edge timing model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Shi-Yu Huang A Symbolic Inject-and-Evaluate Paradigm for Byzantine Fault Diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF diagnosis, symbolic simulation, Byzantine fault
12Yee William Li, George Patounakis, Anup P. Jose, Kenneth L. Shepard, Steven M. Nowick Asynchronous Datapath with Software-Controlled On-Chip Adaptive Voltage Scaling for Multirate Signal Processing Application. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Myoung Hun Kim, Hong-Shik Park An Integrated Scheduling for Multiple Loss Priority Traffic in E-PON OLT Switches. Search on Bibsonomy Art-QoS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Giorgos Dimitrakopoulos, Haridimos T. Vergos, Dimitris Nikolos, Costas Efstathiou A Family of Parallel-Pre.x Modulo 2n - 1 Adders. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Sayed Vahid Azhari, Nasser Yazdani, Ali Mohammad Zareh Bidoki Providing Delay Guarantee in Input Queued Switches: A Comparative Analysis of Scheduling Algorithms. Search on Bibsonomy ICOIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Peter Wohl, Leendert M. Huisman Analysis and Design of Optimal Combinational Compactors. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Shahdad Irajpour, Shahin Nazarian, Lei Wang, Sandeep K. Gupta 0001, Melvin A. Breuer Analyzing Crosstalk in the Presence of Weak Bridge Defects. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Vishal J. Mehta, Kunal K. Dave, Vishwani D. Agrawal, Michael L. Bushnell A Fault-Independent Transitive Closure Algorithm for Redundancy Identification. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Navin Vemuri, Priyank Kalla, Russell Tessier BDD-based logic synthesis for LUT-based FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, decomposition, logic synthesis, BDD
12Luís Guerra e Silva, João Marques-Silva 0001, Luís Miguel Silveira, Karem A. Sakallah Satisfiability models and algorithms for circuit delay computation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF circuit delay computation, timing analysis, Boolean satisfiability, delay modeling, false path
12John Moses, Malcolm Farrow, Peter Smith Statistical Methods for Predicting and Improving Cohesion Using Information Flow: An Empirical Study. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF information flow measures, cohesion prediction systems, empirical relations, ordinal cohesion scales, binary and ordinal logistic regression, cohesion
12Yasushi Sakurai, Masatoshi Yoshikawa, Shunsuke Uemura, Haruhiko Kojima Spatial indexing of high-dimensional data based on relative approximation. Search on Bibsonomy VLDB J. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Relative approximation, Similarity search, High-dimensional data
12Bassam Shaer, Khaled Dib An Efficient Partitioning Algorithm of Combinational CMOS Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF partitioning, pseudoexhaustive testing
12Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas Congestion-Aware Logic Synthesis. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Olaf Maennel, Anja Feldmann Realistic BGP traffic for test labs. Search on Bibsonomy SIGCOMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF BGP, workload
12Vivek De Leakage-tolerant design techniques for high performance processors. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Jiong Yang 0001, Wei Wang 0010, Yi Xia, Philip S. Yu Accelerating Approximate Subsequence Search on Large Protein Sequence Databases. Search on Bibsonomy CSB The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Amit Singh 0001, Malgorzata Marek-Sadowska FPGA interconnect planning. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Sumio Morioka, Akashi Satoh A 10 Gbps Full-AES Crypto Design with a Twisted-BDD S-Box Architecture. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Shi-Yu Huang Speeding Up The Byzantine Fault Diagnosis Using Symbolic Simulation. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Hiroshi Takahashi, Kewal K. Saluja, Yuzo Takamatsu An Alternative Method of Generating Tests for Path Delay Faults Using N -Detection Test Sets. Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Srinath R. Naidu Timing Yield Calculation Using an Impulse-Train Approach. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Cheng-Shang Chang, Duan-Shin Lee, Ching-Ming Lien Load balanced Birkhoff-von Neumann switches with resequencing. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12David B. Lomet The Evolution of Effective B-tree: Page Organization and Techniques: A Personal Account. Search on Bibsonomy SIGMOD Rec. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mike Sheng, Jonathan Rose Mixing buffers and pass transistors in FPGA routing architectures. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12PariVallal Kannan, Shankar Balachandran, Dinesh Bhatia fGREP - Fast Generic Routing Demand Estimation for Placed FPGA Circuits. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Simon Knowles A Family of Adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kihong Kim, Sang Kyun Cha, Keunjoo Kwon Optimizing Multidimensional Index Trees for Main Memory Access. Search on Bibsonomy SIGMOD Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Bhaskaran Raman, Pravin Bhagwat, Srinivasan Seshan Arguments for Cross-Layer Optimizations in Bluetooth Scatternets. Search on Bibsonomy SAINT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Eelco Rouw, Jaap Hoekstra, Arthur H. M. van Roermund Spike correlation based learning for unsupervised neural lattice structures. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Wen-Yu Tseng, Sy-Yen Kuo All-Optical Multicasting on Wavelength-Routed WDM Networks with Partial Replica. Search on Bibsonomy ICOIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kelly A. Ockunzzi, Christos A. Papachristou Breaking Correlation to Improve Testability. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF BIST, DFT, Test Synthesis
12Supratik Chakraborty, Rajeev Murgai Complexity Of Minimum-Delay Gate Resizing. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Bassam Shaer, David L. Landis, Sami A. Al-Arian Partitioning algorithm to enhance pseudoexhaustive testing of digital VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Bassam Shaer, Sami A. Al-Arian, David L. Landis Partitioning sequential circuits for pseudoexhaustive testing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Surendra Bommu, Niall O'Neill, Maciej J. Ciesielski Retiming-based factorization for sequential logic optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF finite stat machines, retiming, sequential synthesis
12Eric Keller JRoute: A Run-Time Routing API for FPGA Hardware. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Yibin Ye, Kaushik Roy 0001, Rolf Drechsler Power Consumption in XOR-Based Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Dongsheng Wang 0012, Ping Zhang 0001, Chung-Kuan Cheng, Arunabha Sen A Performance-Driven I/O Pin Routing Algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Simon Knowles A Family of Adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Rajeev Murgai Performance optimization under rise and fall parameters. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Bassam Shaer, Sami A. Al-Arian, David L. Landis Pseudo-Exhaustive Testing of Sequential Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Patrick Fay, Gary H. Bernstein, David H. Chow, Joel N. Schulman, Pinaki Mazumder, William Williamson III, Barry K. Gilbert Integration of InAs/AlSb/GaSb Resonant Interband Tunneling Diodes with Heterostructure Field-Effect Transistors for Ultra-High-Speed Digital Circuit Applications. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF resonant tunneling diode (RTD), resonant interband tunneling diode (RITD), heterostructure field-effect transistor (HFET), ultra-high-speed logic circuits
12Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal A Test Generator for Segment Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Hiroshi Sawada, Shigeru Yamashita, Akira Nagoya Restructuring Logic Representations with Easily Detectable Simple Disjunctive Decompositions. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF simple disjunctive decomposition, symmetric variables, multi-level logic circuit, ordered binary decision diagram
12Zhongcheng Li, Yinghua Min, Robert K. Brayton A New Low-Cost Method for Identifying Untestable Path Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF non-robustly untestable, Delay testing, path delay fault, implication
12W. Kwon, Boseob Kwon, Jae-Hyun Park, Hyunsoo Yoon Two-phase Multicast in Wormhole-Switched Bidirectional Multistage Banyan Networks. Search on Bibsonomy ICPP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Jui-Ming Chang, Massoud Pedram Energy minimization using multiple supply voltages. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Supratik Chakraborty, David L. Dill More Accurate Polynomial-Time Min-Max Timing Simulation. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Uncertain component delays, min-max timing simulation, thirteen-valued signal algebra, polynomial-time algorithm
12Jason Cong, Honching Peter Li, Sung Kyu Lim, Toshiyuki Shibuya, Dongmin Xu Large scale circuit partitioning with loose/stable net removal and signal flow based clustering. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Jason Cong, Wilburt Labio, Narayanan Shivakumar Multiway VLSI circuit partitioning based on dual net representation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Krishnendu Chakrabarty, John P. Hayes Test response compaction using multiplexed parity trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Irith Pomeranz, Sudhakar M. Reddy On Finding Functionally Identical and Functionally Opposite Lines in Combinational Logic Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Sujit Dey, Srimat T. Chakradhar Design of testable sequential circuits by repositioning flip-flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cycle-breaking, flip-flop minimization, sequential redundancy, design for testability, sequential circuits, retiming, partial scan, strongly connected components, redundant fault
12Baher Haroun, Behzad Sajjadi Synthesis of Signal Processing Structured Datapaths for FPGAs Supporting RAMs and Busses. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Tanay Karnik, Sung-Mo Kang An empirical model for accurate estimation of routing delay in FPGAs. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Routing Delay, Modeling, FPGA, Estimation, Statistics
12Xiaobo Sharon Hu, Steven C. Bass, Ronald G. Harber Minimizing the number of delay buffers in the synchronization of pipelined systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Srimat T. Chakradhar, Vishwani D. Agrawal, Michael L. Bushnell Energy minimization and design for testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF neural networks, graph theory, energy minimization, digital testing, Combinational logic circuits
12Marianne E. Louie, Milos D. Ercegovac Implementing division with field programmable gate arrays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Ohyoung Song, Premachandran R. Menon 3-valued trace-based fault simulation of synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Ohyoung Song, Premachandran R. Menon Acceleration of trace-based fault simulation of combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Thomas K. Callaway, Earl E. Swartzlander Jr. Estimating the power consumption of CMOS adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Marianne E. Louie, Milos D. Ercegovac On digit-recurrence division implementations for field programmable gate arrays. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Vijay S. Iyengar, Gopalakrishnan Vijayan Optimized test application timing for AC test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Patrick Kam Lui, Jon C. Muzio Constrained parity testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF compaction testing, parity testing, Built-in self-test, signature analysis
12David M. Nicol Performance Bounds on Parallel Self-Initiating Discrete-Event Simulations. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF parallel simulation, synchronization protocol
12Robert J. Francis, Jonathan Rose, Kevin Chung Chortle: A Technology Mapping Program for Lookup Table-Based Field Programmable Gate Arrays. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Noriyuki Ito Automatic Incorporation of On-Chip Testability Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Kaushik Roy 0001, Jacob A. Abraham A Novel Approach to Accurate Timing Verification Using RTL Descriptions. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Rhonda Kay Gaede, Don E. Ross, M. Ray Mercer, Kenneth M. Butler CATAPULT: Concurrent Automatic Testing Allowing Parallelization and Using Limited Topology. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
12M. Ladjadj, John F. McDonald 0001, D.-H. Ho, W. Murray Jr. Use of the subscripted DALG in submodule testing with applications in cellular arrays. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
12Donald L. Richards Efficient Exercising of Switching Elements in Nets of Identical Gates. Search on Bibsonomy J. ACM The full citation details ... 1973 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #294 of 294 (100 per page; Change: )
Pages: [<<][1][2][3]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license