The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for glitch with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1998 (17) 1999-2000 (15) 2001-2002 (18) 2003-2005 (33) 2006 (17) 2007 (16) 2008 (19) 2009 (15) 2010-2011 (19) 2012-2013 (22) 2014 (17) 2015-2016 (19) 2017 (15) 2018-2019 (27) 2020-2021 (30) 2022-2023 (30) 2024 (5)
Publication types (Num. hits)
article(106) data(1) inproceedings(227)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 104 occurrences of 78 keywords

Results
Found 334 publication records. Showing 334 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Amir Moradi 0001, Oliver Mischke On the Simplicity of Converting Leakages from Multivariate to Univariate - Case Study of a Glitch-Resistant Masking Scheme - Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2012 DBLP  BibTeX  RDF
20Jing Jin 0005, Xiaoming Liu 0008, Tingting Mo, Jianjun Zhou Quantization Noise Suppression in Fractional-N PLLs Utilizing Glitch-Free Phase Switching Multi-Modulus Frequency Divider. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Amir Fathi, Sarkis Azizian, Rahim Fathi, Habib Ghasemizadeh Tamar Low latency, glitch-free booth encoder-decoder for high speed multipliers. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Dai Yamamoto, Gabriel Hospodar, Roel Maes, Ingrid Verbauwhede Performance and Security Evaluation of AES S-Box-Based Glitch PUFs on FPGAs. Search on Bibsonomy SPACE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Mariem Slimani, Philippe Matherat, Yves Mathieu A dual threshold voltage technique for glitch minimization. Search on Bibsonomy ICECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Ghaith Bany Hamad, Otmane Aït Mohamed, Syed Rafay Hasan, Yvon Savaria Identification of soft error glitch-propagation paths: Leveraging SAT solvers. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Amir Moradi 0001, Oliver Mischke Glitch-free implementation of masking in modern FPGAs. Search on Bibsonomy HOST The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Hongying Liu, Guoyu Qian, Yukiyasu Tsunoo, Satoshi Goto The Switching Glitch Power Leakage Model. Search on Bibsonomy J. Softw. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Gaetan Canivet, Paolo Maistri, Régis Leveugle, Jessy Clédière, Florent Valette, Marc Renaudin Glitch and Laser Fault Attacks onto a Secure AES Implementation on a SRAM-Based FPGA. Search on Bibsonomy J. Cryptol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Will Tracz Glitch: the hidden impact of faulty software by Jeff Papows. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Kesava R. Talupuru, Sanjai Athi Achieving Glitch-Free Clock Domain Crossing Signals Using Formal Verification, Static Timing Analysis, and Sequential Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Laure Berti-Équille, Tamraparni Dasu, Divesh Srivastava Discovery of complex glitch patterns: A novel approach to Quantitative Data Cleaning. Search on Bibsonomy ICDE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Ghaith Bany Hamad, Otmane Aït Mohamed, Syed Rafay Hasan, Yvon Savaria SEGP-Finder: Tool for identification of Soft Error Glitch-Propagating paths at gate level. Search on Bibsonomy ICECS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Warren Wai-Kit Shum, Jason Helge Anderson FPGA glitch power analysis and reduction. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
20Xiaoming Liu 0008, Jing Jin 0005, Xi Li, Jianjun Zhou Glitch-Free Multi-Modulus Frequency Divider for Quantization Noise suppression in fractional-N PLLs. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Lei Wang, Markus Olbrich, Erich Barke, Thomas Büchner, Markus Bühler, Philipp V. Panitz A gate sizing method for glitch power reduction. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Salvatore Levantino, Marco Zanuso, Paolo Madoglio, Davide Tasca, Carlo Samori, Andrea L. Lacaita AD-PLL for WiMAX with Digitally-Regulated TDC and Glitch Correction Logic. Search on Bibsonomy EURASIP J. Embed. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Meng-Hung Shen, Jen-Huan Tsai, Po-Chiun Huang Random Swapping Dynamic Element Matching Technique for Glitch Energy Minimization in Current-Steering DAC. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Syed Rafay Hasan, Normand Bélanger, Yvon Savaria, M. Omair Ahmad Crosstalk Glitch Propagation Modeling for Asynchronous Interfaces in Globally Asynchronous Locally Synchronous Systems. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Amit Mondal, Ross Cutler, Cheng Huang 0002, Jin Li 0001, Aleksandar Kuzmanovic SureCall: Towards glitch-free real-time audio/video conferencing. Search on Bibsonomy IWQoS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Dina Kamel, Cédric Hocquet, François-Xavier Standaert, Denis Flandre, David Bol Glitch-induced within-die variations of dynamic energy in voltage-scaled nano-CMOS circuits. Search on Bibsonomy ESSCIRC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Hongying Liu, Guoyu Qian, Satoshi Goto, Yukiyasu Tsunoo Correlation Power Analysis Based on Switching Glitch Model. Search on Bibsonomy WISA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20William John Bainbridge, Sean James Salisbury Glitch Sensitivity and Defense of Quasi Delay-Insensitive Network-on-Chip Links. Search on Bibsonomy ASYNC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Betsy James DiSalvo, Mark Guzdial, Tom McKlin, Charles Meadows, Kenneth Perry, Corey Steward, Amy S. Bruckman Glitch Game Testers: African American Men Breaking Open the Console. Search on Bibsonomy DiGRA Conference The full citation details ... 2009 DBLP  BibTeX  RDF
20Marco Zanuso, Salvatore Levantino, Davide Tasca, Daniele Raiteri, Carlo Samori, Andrea L. Lacaita A glitch-corrector circuit for low-spur ADPLLs. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Ali Kiaei, Mounir Bohsali, Ahmad Bahai, Thomas H. Lee A 10Gb/s NRZ receiver with feedforward equalizer and glitch-free phase-frequency detector. Search on Bibsonomy ESSCIRC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Xiao Dong, Guy G. F. Lemieux PGR: Period and glitch reduction via clock skew scheduling, delay padding and GlitchLess. Search on Bibsonomy FPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Lei Wang, Markus Olbrich, Erich Barke, Thomas Büchner, Markus Bühler Fast dynamic power estimation considering glitch filtering. Search on Bibsonomy SoCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Asier Goikoetxea Yanci, Stephen Pickles, Tughrul Arslan Characterization of a Voltage Glitch Attack Detector for Secure Devices. Search on Bibsonomy BLISS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Adrian Stoica, Srinivas Katkoori "Glitch Logic" and Applications to Computing and Information Security. Search on Bibsonomy BLISS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF anti-tamper, trusted design, protection to reverse engineering, information exfiltration, stego-design, hardware vulnerabilities
20Julien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton GlitchLess: Dynamic Power Minimization in FPGAs Through Edge Alignment and Glitch Filtering. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Tomas Bengtsson, Shashi Kumar, Raimund Ubar, Artur Jutman, Zebo Peng Test methods for crosstalk-induced delay and glitch faults in network-on-chip interconnects implementing asynchronous communication protocols. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Vishal Midha The Glitch in On-line Advertising: A Study of Click Fraud in Pay-Per-Click Advertising Programs. Search on Bibsonomy Int. J. Electron. Commer. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Kwan-Houng Lee, Jin-Gu Kang, Nam-Seo Park, Choong-Mo Yun, Jae-Jin Kim A Low Power Communication Circuit Design Using Selective Glitch Removal Method. Search on Bibsonomy FGCN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Gu-Yeon Wei, David M. Brooks, Ali Durlov Khan, Xiaoyao Liang Instruction-driven clock scheduling with glitch mitigation. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Jonathan A. Clarke, George A. Constantinides, Peter Y. K. Cheung, Alastair M. Smith Glitch-aware output switching activity from word-level statistics. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Asier Goikoetxea Yanci, Stephen Pickles, Tughrul Arslan Detecting Voltage Glitch Attacks on Secure Devices. Search on Bibsonomy BLISS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Julien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton GlitchLess: an active glitch minimization technique for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, power minimization
20Youse Kim, Naeun Zang, Juho Kim Stochastic glitch elimination considering path correlation. Search on Bibsonomy SoCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Michael N. Skoufis, Haibo Wang 0005, Themistoklis Haniotakis, Spyros Tragoudas Glitch Control with Dynamic Receiver Threshold Adjustment. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Yuanlin Lu, Vishwani D. Agrawal CMOS Leakage and Glitch Minimization for Power-Performance Tradeoff. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Shanfeng Cheng, Haitao Tong, José Silva-Martínez, Aydin I. Karsilayan Design and Analysis of an Ultrahigh-Speed Glitch-Free Fully Differential Charge Pump With Minimum Output Current Variation and Accurate Matching. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Altaf Abdul Gaffar, Jonathan A. Clarke, George A. Constantinides Modeling of glitch effects in FPGA based arithmetic circuits. Search on Bibsonomy FPT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Hosun Shin, Naeun Zang, Juho Kim Stochastic Glitch Estimation and Path Balancing for Statistical Optimization. Search on Bibsonomy SoCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Krister Landernäs, Johnny Holmberg, Mark Vesterbacka Glitch reduction in digit-serial recursive filters using retiming. Search on Bibsonomy ICECS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Huayi Zhang, Ezz I. El-Masry A novel CMOS power efficient and glitch free d-flip-flop for dual-modulus prescaler. Search on Bibsonomy Circuits, Signals, and Systems The full citation details ... 2005 DBLP  BibTeX  RDF
20Henrik Eriksson, Per Larsson-Edefors Glitch-conscious low-power design of arithmetic circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
20Sungjae Kim, Hyungwoo Lee, Juho Kim Concurrent Gate Re-Sizing and Buffer Insertion to Reduce Glitch Power in CMOS Digital Circuit Design. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2002 DBLP  BibTeX  RDF
20Ki-Seok Chung, Taewhan Kim, C. L. Liu 0001 A Complete Model for Glitch Analysis in Logic Circuits. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Ki-Seok Chung, Taewhan Kim, C. L. Liu 0001 G-vector: A New Model for Glitch Analysis in Logic Circuits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF synthesis, power estimation, logic circuits, glitches
20Henrik Eriksson, Per Larsson-Edefors Impact of Voltage Scaling on Glitch Power Consumption. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Mikael Karlsson Rudberg, Mark Vesterbacka, Niklas U. Andersson, J. Jacob Wikner Glitch minimization and dynamic element matching in D/A converters. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Pasin Israsena, Steve Summerfield Novel pattern-based power estimation tool with accurate glitch modeling. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Nihar R. Mahapatra, Sriram V. Garimella, Alwin Takeen Efficient techniques based on gate triggering for designing static CMOS ICs with very low glitch power dissipation. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Anand Raghunathan, Sujit Dey, Niraj K. Jha Register transfer level power optimization with emphasis on glitch analysis and reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Masanori Hashimoto, Hidetoshi Onodera, Keikichi Tamaru A Practical Gate Resizing Technique Considering Glitch Reduction for Low Power Design. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Anne Van den Bosch, Marc Borremans, Jan Vandenbussche, Geert Van der Plas, Augusto Manuel Marques, José Bastos, Michiel Steyaert, Georges G. E. Gielen, Willy Sansen A 12 bit 200 MHz low glitch CMOS D/A converter. Search on Bibsonomy CICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Bruce J. Tesch, Juan C. Garcia A low glitch 14-b 100-MHz D/A converter. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Dirk Rabe, Wolfgang Nebel New approach in gate-level glitch modelling. Search on Bibsonomy EURO-DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Anand Raghunathan, Sujit Dey, Niraj K. Jha Glitch Analysis and Reduction in Register Transfer Level. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Tien-Yu Wu, Ching-Tsing Jih, Jueh-Chi Chen, Chung-Yu Wu A low glitch 10-bit 75-MHz CMOS video D/A converter. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Michele Favalli, Luca Benini Analysis of glitch power dissipation in CMOS ICs. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Qiuting Huang, Robert Rogenmoser A Glitch-Free Single-Phase CMOS DFF for Gigahertz Applications. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20James H. Anderson, Mohamed G. Gouda A New Explanation of the Glitch Phenomenon. Search on Bibsonomy Acta Informatica The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
20Stephen D. Crocker Output of the Host-Host Protocol glitch cleaning committee. Search on Bibsonomy RFC The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
20Robert D. Bressler, Stephen D. Crocker, William R. Crowther, Gary R. Grossman, Raymond S. Tomlinson, James E. White Output of the Host-Host Protocol Glitch Cleaning Committee. Search on Bibsonomy RFC The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
14Rupesh S. Shelar, Marek Patyra Impact of local interconnects on timing and power in a high performance microprocessor. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CAD, delay, interconnects, power, microprocessor
14Tomasz Rudnicki, Tomasz Garbolino, Krzysztof Gucwa, Andrzej Hlawiczka Effective BIST for crosstalk faults in interconnects. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Zhimin Chen 0002, Syed Haider, Patrick Schaumont Side-Channel Leakage in Masked Circuits Caused by Higher-Order Circuit Effects. Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Flavio Carbognani, Felix Bürgin, Norbert Felber, Hubert Kaeslin, Wolfgang Fichtner Transmission Gates Combined With Level-Restoring CMOS Gates Reduce Glitches in Low-Power Low-Frequency Multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Charu Nagpal, Rajesh Garg, Sunil P. Khatri A Delay-efficient Radiation-hard Digital Design Approach Using CWSP Elements. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Denis Réal, Cécile Canovas, Jessy Clédière, M'hamed Drissi, Frédéric Valette Defeating classical Hardware Countermeasures: a new processing for Side Channel Analysis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Hung Tien Bui Design of an all-digital variable length ring oscillator (VLRO) for clock synthesis. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Santanu Sarkar 0002, Ravi Sankar Prasad, Sanjoy Kumar Dey, Vinay Belde, Swapna Banerjee An 8-bit 1.8 V 500 MS/s CMOS DAC with a novel four-stage current steering architecture. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Chanyang Joo, Soojae Kim, Kwangsub Yoon A low-power 12-bit 80MHz CMOS DAC using pseudo-segmentation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF binary decoder, pseudo-segmentation, swing reduced driver, low power, DAC
14Qian Ding, Yu Wang 0002, Hui Wang 0004, Rong Luo, Huazhong Yang Output Remapping Technique for Soft-Error Rate Reduction in Critical Paths. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Rajesh Garg, Charu Nagpal, Sunil P. Khatri A fast, analytical estimator for the SEU-induced pulse width in combinational designs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF single event upset (SEU), model, analysis
14V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Interactive presentation: On power-profiling and pattern generation for power-safe scan tests. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Kuan Jen Lin, Shan Chien Fang, Shih Hsien Yang, Cheng Chia Lo Overcoming glitches and dissipation timing skews in design of DPA-resistant cryptographic hardware. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Chong Hee Kim, Jean-Jacques Quisquater Fault Attacks for CRT Based RSA: New Attacks, New Results, and New Countermeasures. Search on Bibsonomy WISTP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Bill Pontikakis, Hung Tien Bui, François R. Boyer, Yvon Savaria A Low-Complexity High-Speed Clock Generator for Dynamic Frequency Scaling of FPGA and Standard-Cell Based Designs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Zhenglin Liu, Xu Guo, Yi-Cheng Chen, Yu Han, Xuecheng Zou On the Ability of AES S-Boxes to Secure Against Correlation Power Analysis. Search on Bibsonomy ISPEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF correlation power analysis (CPA), Advanced Encryption Standard (AES), hamming distance, correlation coefficient
14Mustafa Parlak, Ilker Hamzaoglu A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi Error Tolerance of DNA Self-Healing Assemblies by Puncturing. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Natasa Miskov-Zivanov, Diana Marculescu MARS-S: Modeling and Reduction of Soft Errors in Sequential Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Natasa Miskov-Zivanov, Diana Marculescu Circuit Reliability Analysis Using Symbolic Techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jan Camenisch, Susan Hohenberger, Markulf Kohlweiss, Anna Lysyanskaya, Mira Meyerovich How to win the clonewars: efficient periodic n-times anonymous authentication. Search on Bibsonomy CCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF n-anonymous authentication, credentials, clone detection
14Michele Boreale Attacking Right-to-Left Modular Exponentiation with Timely Random Faults. Search on Bibsonomy FDTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault-based cryptanalysis, public-key cryptosystems, smartcards
14Tzyy-Kuen Tien, Jing-Jou Tang, Kuan-Jou Chen A new high speed dynamic PLA. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Adit D. Singh, Gefu Xu Output Hazard-Free Transition Tests for Silicon Calibrated Scan Based Delay Testing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Hazard-Free, Test, Delay, Transition
14Gaurav Raja, Basabi Bhaumik 16-Bit Segmented Type Current Steering DAC for Video Applications. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Digital-to-Analog Conversion, Segmentation, Matching
14Jiajia Chen 0002, Chip-Hong Chang, A. Prasad Vinod 0001 Design of High-speed, Low-power FIR Filters with Fine-grained Cost Metrics. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Natasa Miskov-Zivanov, Diana Marculescu MARS-C: modeling and reduction of soft errors in combinational circuits. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reliability symbolic techniques, SER
14Antonio G. M. Strollo, Davide De Caro, Ettore Napoli, Nicola Petra A novel high-speed sense-amplifier-based flip-flop. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Jean-Pierre Seifert On authenticated computing and RSA-based authentication. Search on Bibsonomy CCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF authenticated computing, RSA, fault attacks, secure boot
14Cristiano Forzan, Davide Pandini Modeling the Non-Linear Behavior of Library Cells for an Accurate Static Noise Analysis. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Fei Wang, Jianyu Zhang, Xuan Wang, Jinmei Lai, Chengshou Sun Design of A 2.4-GHz integrated frequency synthesizer. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Ajoy Kumar Palit, Lei Wu, Kishore K. Duganapalli, Walter Anheier, Jürgen Schlöffel A New, Flexible and Very Accurate Crosstalk Fault Model to Analyze the Effects of Coupling Noise between the Interconnects on Signal Integrity Losses in Deep Submicron Chips. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF crosstalk model, aggressor-victim, ABCD-model, crosstalk-hazards, signal integrity
14Wei-Sheng Huang, Tay-Jyi Lin, Shih-Hao Ou, Chih-Wei Liu, Chein-Wei Jen Pipelining technique for energy-aware datapaths. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Myint Wai Phyu, Wang Ling Goh, Kiat Seng Yeo A low-power static dual edge-triggered flip-flop using an output-controlled discharge configuration. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 334 (100 per page; Change: )
Pages: [<<][1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license