|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 15633 occurrences of 6345 keywords
|
|
|
Results
Found 46846 publication records. Showing 46846 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
24 | Masilamani Vedhanayagam, Kamala Krithivasan, K. G. Subramanian 0001, Ang Miin Huey |
Efficient Algorithms for Reconstruction of 2D-Arrays from Extended Parikh Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVC (2) ![In: Advances in Visual Computing, 4th International Symposium, ISVC 2008, Las Vegas, NV, USA, December 1-3, 2008. Proceedings, Part II, pp. 1137-1146, 2008, Springer, 978-3-540-89645-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Parikh mapping, extended Parikh mapping, Min-Cost Max-Flow problem, 2D-array reconstruction, Formal Languages |
24 | Marco Tarini, Kai Hormann, Paolo Cignoni, Claudio Montani |
PolyCube-Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 23(3), pp. 853-860, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
u-v-mapping, atlas generation, cube maps, texture mapping, surface parameterization |
24 | Darren M. Platt, Trevor I. Dix |
A model for comparing genomic restriction maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (5) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 24-31, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
genomic restriction map comparison, genetic physical maps, genomic extracts, plausible maps, minimum message length principles, fragment length data, clone fingerprinting, sequence tagged sites, map structure, mapping program, error parameters, mapping process, uncertainties, statistical analysis, errors, DNA, DNA, genetics, biology computing, objective function, optimal solution |
24 | Stephen Morrisson, Marion Kee, Kenneth Goodman |
Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mach. Transl. ![In: Mach. Transl. 4(2), pp. 113-128, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
f-structure, lexical mapping rule, structural mapping rule, universal parsing |
24 | Jens Lischka, Holger Karl |
A virtual network mapping algorithm based on subgraph isomorphism detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VISA ![In: Proceedings of the 1st ACM SIGCOMM Workshop on Virtualized Infrastructure Systems and Architectures, VISA 2009, Barcelona, Spain, August 17, 2009, pp. 81-88, 2009, ACM, 978-1-60558-595-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
virtual network mapping, resource allocation, virtualization, subgraph isomorphism, network embedding |
24 | Pieter van Zyl, Derrick G. Kourie, Louis Coetzee, Andrew Boake |
The influence of optimisations on the performance of an object relational mapping tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAICSIT ![In: Proceedings of the 2009 Annual Conference of the South African Institute of Computer Scientists and Information Technologists, SAICSIT 2009, Vanderbijlpark, Emfuleni, South Africa, October 12-14, 2009, pp. 150-159, 2009, ACM, 978-1-60558-643-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
eager loading, hibernate, lazy loading, object relation mapping (O-R or ORM), relational database management systems (RDBMS), performance, cache, benchmark, persistence, optimisation techniques |
24 | Morgan McGuire, David P. Luebke |
Hardware-accelerated global illumination by image space photon mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
High Performance Graphics ![In: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Conference on High Performance Graphics 2009, New Orleans, Louisiana, USA, August 1-3, 2009, pp. 77-89, 2009, Eurographics Association, 978-1-60558-603-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
photon volumes, global illumination, photon mapping |
24 | Stephen B. Chisholm, Dirk V. Arnold, Stephen Brooks |
Tone mapping by interactive evolution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2009, Proceedings, Montreal, Québec, Canada, July 8-12, 2009, pp. 515-522, 2009, ACM, 978-1-60558-325-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
tone mapping, interactive evolution |
24 | Hai Zhuge, Yunpeng Xing, Peng Shi 0005 |
Resource space model, OWL and database: Mapping and integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Internet Techn. ![In: ACM Trans. Internet Techn. 8(4), pp. 20:1-20:31, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
resource space model, semantic web, Integration, mapping, web ontology language, relational database model, semantic link network |
24 | Philip A. Bernstein, Todd J. Green, Sergey Melnik 0001, Alan Nash |
Implementing mapping composition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 17(2), pp. 333-353, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Mapping composition, Schema mappings, Model management |
24 | Amel Bouzeghoub, Abdeltif Elbyed, Fariza Tahi |
OMIE: Ontology Mapping within an Interactive and Extensible Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DILS ![In: Data Integration in the Life Sciences, 5th International Workshop, DILS 2008, Evry, France, June 25-27, 2008. Proceedings, pp. 161-168, 2008, Springer, 978-3-540-69827-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
biomedical and life science ontologies, matchers, semantic web, multi-agent systems, similarity measures, ontology mapping |
24 | Cuiwei Yang, Weijia Lu, Tuo Zhou, Xiaomei Wu, Zuxiang Fang |
Development of Epicardial Mapping System for Studying Atrial Fibrillation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMEI (2) ![In: Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, BMEI 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 2, pp. 606-609, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Epicardial Mapping, Atrial Fibrillation |
24 | Mikhail F. Kanevski, Vadim Timonin, Alexei Pozdnoukhov |
Automatic Decision-Oriented Mapping of Pollution Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2008, International Conference, Perugia, Italy, June 30 - July 3, 2008, Proceedings, Part I, pp. 678-691, 2008, Springer, 978-3-540-69838-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
automatic cartography, decision-oriented mapping, uncertainty estimation, General Regression Neural Networks |
24 | Ruzanna Chitchyan, Mónica Pinto, Awais Rashid, Lidia Fuentes |
COMPASS: Composition-Centric Mapping of Aspectual Requirements to Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
T. Aspect-Oriented Software Development ![In: Transactions on Aspect-Oriented Software Development IV, pp. 3-53, 2007, Springer, 978-3-540-77041-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
requirements to architecture mapping, requirements composition, architecture composition, requirements engineering, aspect-oriented software development, architecture design, early aspects |
24 | Guangyu Chen, Feihui Li, Mahmut T. Kandemir |
Compiler-directed application mapping for NoC based chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 155-157, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
compilers, power optimization, Network-on-Chip (NoC), application mapping |
24 | Jinkui Hou, Huahong Yu, Guodong Huang |
Simulation-based Model Mapping Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SERA ![In: 5th ACIS International Conference on Software Engineering Research, Management & Applications (SERA 2007), August 20-22, 2007, Haeundae Grand Hotel, Busan, Korea, pp. 879-886, 2007, IEEE Computer Society, 0-7695-2867-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
semantic consistency, model transformation, model-driven development, model mapping |
24 | Lixia Liu, Xiao-Feng Li, Michael K. Chen, Roy Dz-Ching Ju |
A Throughput-Driven Task Creation and Mapping for Network Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Second International Conference, HiPEAC 2007, Ghent, Belgium, January 28-30, 2007, Proceedings, pp. 227-241, 2007, Springer, 978-3-540-69337-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Intel IXP, Task Creation and Mapping, Throughput, Network Processors, Dataflow Programming |
24 | Arnaud Blouin, Olivier Beaudoux |
Mapping paradigm for document transformation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Symposium on Document Engineering ![In: Proceedings of the 2007 ACM Symposium on Document Engineering, Winnipeg, Manitoba, Canada, August 28-31, 2007, pp. 219-221, 2007, ACM, 978-1-59593-776-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
XML, mapping, XSLT, document transformation |
24 | Hongxin Zhang 0001, Ying Tang, Hui Zhao, Hujun Bao |
Bernoulli Embedding Model and Its Application in Texture Mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 21(2), pp. 199-203, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Bernoulli logistic embedding, texture mapping, parameterization, dimension reduction |
24 | Jih-Woei Huang, Chih-Ping Chu |
An Efficient Communication Scheduling Method for the Processor Mapping Technique Applied Data Redistribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 37(3), pp. 297-318, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
MPI, parallel compiler, communication scheduling, data redistribution, data-parallel programming, processor mapping |
24 | Chi-Shong Wang, Chingwei Yeh |
Performance-driven technology mapping with MSG partition and selective gate duplication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(4), pp. 953-973, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
gate duplication, maximal super-gate, super-gate, dynamic programming, partition, matching, logic synthesis, directed acyclic graph, Technology mapping, covering |
24 | Amel Bouzeghoub, Abdeltif Elbyed |
Ontology Mapping for Learning Objects Repositories Interoperability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Tutoring Systems ![In: Intelligent Tutoring Systems, 8th International Conference, ITS 2006, Jhongli, Taiwan, June 26-30, 2006, Proceedings, pp. 794-797, 2006, Springer, 3-540-35159-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Interoperability and Multi-Agent Systems, Ontology Mapping, Learning Resources |
24 | Francesco Banterle, Patrick Ledda, Kurt Debattista, Alan Chalmers |
Inverse tone mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GRAPHITE ![In: Proceedings of the 4th International Conference on Computer Graphics and Interactive Techniques in Australasia and Southeast Asia 2006, Kuala Lumpur, Malaysia, November 29 - December 2, 2006, pp. 349-356, 2006, ACM, 1-59593-564-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
image processing, tone mapping, image based lighting |
24 | Sean Safarpour, Andreas G. Veneris, Gregg Baeckler, Richard Yuan |
Efficient SAT-based Boolean matching for FPGA technology mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 466-471, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
FPGA technology mapping, Boolean satisfiability, Boolean matching |
24 | Patrick Ledda, Alan Chalmers, Tom Troscianko, Helge Seetzen |
Evaluation of tone mapping operators using a High Dynamic Range display. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 24(3), pp. 640-648, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
psychophysics, high dynamic range, tone mapping |
24 | Sigmar-Olaf Tergan, Tanja Keller |
Digital Concept Mapping in Learning Contexts: Integrating Knowledge, Arguments and Information Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: 9th International Conference on Information Visualisation, IV 2005, 6-8 July 2005, London, UK, pp. 371-376, 2005, IEEE Computer Society, 0-7695-2397-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
argument visualization, Concept mapping, knowledge visualization, cognitive tool |
24 | Andreas Hansson 0001, Kees Goossens, Andrei Radulescu |
A unified approach to constrained mapping and routing on network-on-chip architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 75-80, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
quality-of-service, routing, system-on-chip, mapping, network-on-chip |
24 | Rupesh S. Shelar, Sachin S. Sapatnekar, Prashant Saxena, Xinning Wang |
A predictive distributed congestion metric and its application to technology mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2004 International Symposium on Physical Design, ISPD 2004, Phoenix, Arizona, USA, April 18-21, 2004, pp. 210-217, 2004, ACM, 1-58113-817-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
congestion prediction, technology mapping |
24 | Hanh Pham, Yiming Ye, Vien Nguyen |
Autonomous Mapping of E-Business Demands and Supplies via Invisible Internet Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Electron. Commer. Res. ![In: Electron. Commer. Res. 3(3-4), pp. 365-395, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Internet agents, business automation, multi-value mapping, interval-valued numbers, fuzzy logics |
24 | Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt |
An algorithm for mapping loops onto coarse-grained reconfigurable architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2003 Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'03). San Diego, California, USA, June 11-13, 2003, pp. 183-188, 2003, ACM, 1-58113-647-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
ALU array, memory bandwidth utilization, coarse-grained reconfigurable architecture, mapping algorithm |
24 | Min Zhao 0001, Sachin S. Sapatnekar |
Technology mapping algorithms for domino logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 7(2), pp. 306-335, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
XOR/XNOR logic, dual-monotonic gates, parameterized library, phase assignment, synthesis, technology mapping, Domino logic |
24 | Cyril Soler, Marie-Paule Cani, Alexis Angelidis |
Hierarchical pattern mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 21(3), pp. 673-680, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
texture mapping, texture synthesis, level of detail algorithms |
24 | Yomin Hou, Chien-Min Wang, Chiu-Yu Ku, Lih-Hsing Hsu |
Optimal Processor Mapping for Linear-Complement Communication on Hypercubes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 12(5), pp. 514-527, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
linear-complement communication, channel contention, Hypercubes, wormhole routing, processor mapping |
24 | Ammar H. Alhusaini, Viktor K. Prasanna, Cauligi S. Raghavendra |
A Framework for Mapping with Resource Co-Allocation in Heterogeneous Computing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Heterogeneous Computing Workshop ![In: 9th Heterogeneous Computing Workshop, HCW 2000, Cancun, Mexico, May 1, 2000, pp. 273-286, 2000, IEEE Computer Society, 0-7695-0556-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Mapping, Heterogeneous Computing, DAG scheduling, Resource co-allocation |
24 | Cristina Russo Dos Santos, Pascal Gros, Pierre Abel, Didier Loisel, N. Trichaud, Jean-Pierre Paris |
Mapping Information onto 3D Virtual Worlds. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: International Conference on Information Visualisation, IV 2000, London, England, UK, July 19-21, 2000, pp. 379-386, 2000, IEEE Computer Society, 0-7695-0743-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
3D Visual Metaphors, Virtual Worlds, Information Mapping, 3D Information Visualization |
24 | Eduardo Huedo, Manuel Prieto 0001, Ignacio Martín Llorente, Francisco Tirado |
Impact of PE Mapping on Cray T3E Message-Passing Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings., pp. 199-207, 2000, Springer, 3-540-67956-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
MPI performance evaluation, SGI Origin 2000, network contention, mapping algorithm, Cray T3E |
24 | Chiung-Hui Chiu, Chun-Chieh Huang, Wen-Tsung Chang, Tsung-Ho Liang |
Interaction processes in network supported collaborative concept mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITiCSE ![In: Proceedings of the 4th Annual SIGCSE Conference on Innovation and Technology in Computer Science Education, ITiCSE 1999, Cracow, Poland, 27-30 June, 1999, pp. 75-78, 1999, ACM, 1-58113-087-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
collaborative learning, concept mapping, interactive learning, interaction processes |
24 | Ranga S. Ramanujan, Jordan Bonney, Kenneth J. Thurber, Rakesh Jha, Howard Jay Siegel |
A Framework for Automated Software Partitioning and Mapping for Distributed Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1996 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '96), June 12-14, 1996, Beijing, China, pp. 138-145, 1996, IEEE Computer Society, 0-8186-7460-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
software mapping, parallel processing, software partitioning |
24 | Edgar T. Kalns, Lionel M. Ni |
Processor Mapping Techniques Toward Efficient Data Redistribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 6(12), pp. 1234-1247, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
High Performance Fortran, data redistribution, Distributed-memory architectures, data-parallel programming, data decomposition, processor mapping |
24 | Pascal Bouvry, Jacques Chassin de Kergommeaux, Denis Trystram |
Efficient Solutions for Mapping Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '95 Parallel Processing, First International Euro-Par Conference, Stockholm, Sweden, August 29-31, 1995, Proceedings, pp. 379-390, 1995, Springer, 3-540-60247-X. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Load-balancing, Mapping, Parallel environment |
24 | Ye Kyaw Thu, Yoshiyori Urano |
Positional mapping: keyboard mapping based on characters writing positions for mobile devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMI ![In: Proceedings of the 9th International Conference on Multimodal Interfaces, ICMI 2007, Nagoya, Aichi, Japan, November 12-15, 2007, pp. 110-117, 2007, ACM, 978-1-59593-817-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Bangla language, Myanmar language, keypad layout, mobile phone, text entry, soft keyboard, stylus input, pen-based |
24 | Victor Olman, Hanchuan Peng, Zhengchang Su, Ying Xu 0001 |
Mapping of Microbial Pathways through Constrained Mapping of Orthologous Genes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSB ![In: 3rd International IEEE Computer Society Computational Systems Bioinformatics Conference, CSB 2004, Stanford, CA, USA, August 16-19, 2004, pp. 363-370, 2004, IEEE Computer Society, 0-7695-2194-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Kurt W. Fleischer, David H. Laidlaw, Bena L. Currin, Alan H. Barr |
Cellular texture generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 22nd Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1995, Los Angeles, CA, USA, August 6-11, 1995, pp. 239-248, 1995, ACM, 0-89791-701-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
data amplification, developmental models, constraints, texture mapping, particle systems, displacement mapping, bump mapping |
24 | Nancy A. Lynch |
Multivalued Possibilities Mappings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
REX Workshop ![In: Stepwise Refinement of Distributed Systems, Models, Formalisms, Correctness, REX Workshop, Mook, The Netherlands, May 29 - June 2, 1989, Proceedings, pp. 519-543, 1989, Springer, 3-540-52559-9. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
abstraction mapping, possibilities mapping, history variables, distributed algorithms, mapping, garbage collection, transaction processing, safety property, time bounds, Alternating Bit Protocol |
24 | Syed Atif Mehdi, Christopher Armbrust, Jan Koch, Karsten Berns |
Methodology for robot mapping and navigation in assisted living environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PETRA ![In: Proceedings of the 2nd International Conference on Pervasive Technologies Related to Assistive Environments, PETRA 2009, Corfu, Greece, June 9-13, 2009, 2009, ACM, 978-1-60558-409-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
assisted living robot, mapping and navigation, navigation, mapping |
24 | Alexandre Benoît, David Alleysson, Jeanny Hérault, Patrick Le Callet |
Spatio-temporal Tone Mapping Operator Based on a Retina Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCIW ![In: Computational Color Imaging, Second International Workshop, CCIW 2009, Saint-Etienne, France, March 26-27, 2009. Revised Selected Papers, pp. 12-22, 2009, Springer, 978-3-642-03264-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
High Dynamic Range compression, retina model, video sequence tone mapping, tone mapping, color constancy |
24 | Johanna Nuojua, Kari Kuutti |
Communication based web mapping: a new approach for acquisition of local knowledge for urban planning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MindTrek ![In: Proceedings of the 12th international conference on Entertainment and media in the ubiquitous era, Mindtrek 2008, Tampere, Finland, October 7-9, 2008, pp. 136-140, 2008, ACM, 978-1-60558-197-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
collaborative mapping, web-based participation, web 2.0, participatory design, e-democracy, urban planning, web mapping |
24 | Elizabeth J. O'Neil |
Object/relational mapping 2008: hibernate and the entity data model (edm). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2008, Vancouver, BC, Canada, June 10-12, 2008, pp. 1351-1356, 2008, ACM, 978-1-60558-102-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
entity data model, hibernate, data model, persistence, schema mapping, object-relational mapping, impedance mismatch |
24 | Concepció Roig, Ana Ripoll, Fernando Guirado |
A New Task Graph Model for Mapping Message Passing Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(12), pp. 1740-1753, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
modelling message-passing programs, program behaviour, task concurrency, static mapping, Task graphs, mapping algorithms |
24 | Yoonjin Kim, Ilhyun Park, Kiyoung Choi, Yunheung Paek |
Power-conscious configuration cache structure and code mapping for coarse-grained reconfigurable architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006, pp. 310-315, 2006, ACM, 1-59593-462-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
coarse-grained reconfigurable architecture (CGRA), context pipelining, temporal mapping, low power, system-on-chip (SoC), loop pipelining, configuration cache, spatial mapping |
24 | Yun Huang 0001, Xianjun Geng, Andrew B. Whinston |
Network mapping services for dynamic selection of web services: promises and challenges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Syst. E Bus. Manag. ![In: Inf. Syst. E Bus. Manag. 3(3), pp. 281-297, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Network mapping services, Network mapping, Network distance prediction, Information distortion, Web services, Incentives |
24 | Corinne Ancourt, Denis Barthou, Christophe Guettier, François Irigoin, Bertrand Jeannet, Jean Jourdan, Juliette Mattioli |
Automatic data mapping of signal processing applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1997 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '97), 14-16 July 1997, Zurich, Switzerland, pp. 350-, 1997, IEEE Computer Society, 0-8186-7958-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
automatic data mapping, signal processing applications, architectural resources constraints, fine-grain scheduling, concurrent constraints logic programming languages, concurrent resolution technique, panoramic analysis, mapping, parallel machine, data partitioning, distributed memory, real time constraints, logic programming languages |
23 | Matthew Canton |
The presence table: a reactive surface for ambient connection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TEI ![In: Proceedings of the 5th International Conference on Tangible and Embedded Interaction 2011, Funchal, Madeira, Portugal, January 22-26, 2011, pp. 401-402, 2011, ACM, 978-1-4503-0478-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Jason Cong, Kirill Minkovich |
Optimality Study of Logic Synthesis for LUT-Based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(2), pp. 230-239, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Yuan An, Thodoros Topaloglou |
Maintaining Semantic Mappings between Database Schemas and Ontologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SWDB-ODBIS ![In: Semantic Web, Ontologies and Databases, VLDB Workshop, SWDB-ODBIS 2007, Vienna, Austria, September 24, 2007, Revised Selected Papers, pp. 138-152, 2007, Springer, 978-3-540-70959-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Mahmut T. Kandemir, Ozcan Ozturk 0001, Vijay Degalahal |
Enhancing Locality in Two-Dimensional Space through Integrated Computation and Data Mappings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India, pp. 227-232, 2007, IEEE Computer Society, 0-7695-2762-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Xiaoping Liu 0003, Hui Shi, Zhengqiang Mao, Qiang Lu |
Constraint Information Visualization Methodology for Cooperative Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSCWD (Selected Papers) ![In: Computer Supported Cooperative Work in Design III, 10th International Conference, CSCWD 2006, Nanjing, China, May 3-5, 2006, Revised Selected Papers, pp. 63-72, 2006, Springer, 978-3-540-72862-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Satrajit Chatterjee, Alan Mishchenko, Robert K. Brayton |
Factor cuts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 143-150, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | James F. Terwilliger, Philip A. Bernstein, Adi Unnithan |
Worry-free database upgrades: automated model-driven evolution of schemas and complex mappings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2010, Indianapolis, Indiana, USA, June 6-10, 2010, pp. 1191-1194, 2010, ACM, 978-1-4503-0032-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
o-r mapping, schema evolution, model management |
22 | Ronald Fagin, Phokion G. Kolaitis, Lucian Popa 0001, Wang Chiew Tan |
Quasi-inverses of schema mappings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 33(2), pp. 11:1-11:52, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
quasi-inverse, data integration, dependencies, data exchange, Schema mapping, inverse, chase, metadata model management |
22 | Jingyuan Zhang, Hao Shi |
Geospatial Visualization using Google Maps: A Case Study on Conference Presenters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMSCCS ![In: Proceeding of the Second International Multi-Symposium of Computer and Computational Sciences (IMSCCS 2007), August 13-15, 2007, The University of Iowa, Iowa City, Iowa, USA, pp. 472-476, 2007, IEEE Computer Society, 0-7695-3039-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Geospatial Visualization, Location Based Services, Mapping, Decision Making, Google Maps |
22 | Hideyuki Fujita, Masatoshi Arikawa |
Creating animation with personal photo collections and map for storytelling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EATIS ![In: Proceedings of the 2007 Euro American conference on Telematics and Information Systems, EATIS 2007, Faro, Portugal, May 14-17, 2007, pp. 1, 2007, 978-1-59593-598-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
photo mapping, photo storytelling, animation, visual communication, photo sharing |
22 | Rami Rifaieh, Aïcha-Nabila Benharkat |
Query-based data warehousing tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DOLAP ![In: DOLAP 2002, ACM Fifth International Workshop on Data Warehousing and OLAP, November 8, 2002, McLean, VA, USA, Proceedings, pp. 35-42, 2002, ACM, 1-58113-590-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
mapping expression, query based ETL tools, data warehouse, meta-data |
22 | Kenji Nakayama, Akihiro Hirano, Aki Kanbe |
A Structure Trainable Neural Network with Embedded Gating Units and Its Learning Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN (3) ![In: Proceedings of the IEEE-INNS-ENNS International Joint Conference on Neural Networks, IJCNN 2000, Neural Computing: New Challenges and Perspectives for the New Millennium, Como, Italy, July 24-27, 2000, Volume 3, pp. 253-258, 2000, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Pattern mapping, Gate units, Structure learning, Modular neural networks, Multilayer neural networks |
22 | Liansheng Li, Lihui Huang, Qinghua Guan, Dezhi Xu |
Research on Tree Segmentation-based Ontology Mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WKDD ![In: Proceedings of the Second International Workshop on Knowledge Discovery and Data Mining, WKDD 2009, Moscow, Russia, 23-25 January 2009, pp. 89-92, 2009, IEEE Computer Society, 978-0-7695-3543-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Luca Cabibbo |
On keys, foreign keys and nullable attributes in relational mapping systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDBT ![In: EDBT 2009, 12th International Conference on Extending Database Technology, Saint Petersburg, Russia, March 24-26, 2009, Proceedings, pp. 263-274, 2009, ACM, 978-1-60558-422-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Cheoljoo Jeong, Steven M. Nowick |
Technology Mapping and Cell Merger for Asynchronous Threshold Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(4), pp. 659-672, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Shrirang K. Karandikar, Sachin S. Sapatnekar |
Technology Mapping Using Logical Effort for Solving the Load-Distribution Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(1), pp. 45-58, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Denis F. Wolf, Gaurav S. Sukhatme |
Semantic Mapping Using Mobile Robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Robotics ![In: IEEE Trans. Robotics 24(2), pp. 245-258, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Dang Minh Quan, Jörn Altmann, Laurence Tianruo Yang |
Mapping Heavy Communication SLA-Based Workflows onto Grid Resources with Parallel Processing Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: 10th IEEE International Conference on High Performance Computing and Communications, HPCC 2008, 25-27 Sept. 2008, Dalian, China, pp. 274-281, 2008, IEEE Computer Society, 978-0-7695-3352-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Rafael Tornero, Juan M. Orduña, Maurizio Palesi, José Duato |
A Communication-Aware Topological Mapping Technique for NoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings, pp. 910-919, 2008, Springer, 978-3-540-85450-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Anh Quang Pham, Lie-Liang Yang, Lajos Hanzo |
Unequal Error Protection Irregular Over-Complete Mapping for Wavelet Coded Wireless Video Telephony Using Iterative Source and Channel Decoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: WCNC 2008, IEEE Wireless Communications & Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings, pp. 1251-1255, 2008, IEEE, 978-1-4244-1997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Tsun-Hsien Wang, Wei-Su Wong, Fang-Chu Chen, Ching-Te Chiu |
Design and Implementation of a Real-Time Global Tone Mapping Processor for High Dynamic Range Video. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (6) ![In: Proceedings of the International Conference on Image Processing, ICIP 2007, September 16-19, 2007, San Antonio, Texas, USA, pp. 209-212, 2007, IEEE, 978-1-4244-1436-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Ewerson Carvalho, Ney Calazans, Fernando Moraes 0001 |
Heuristics for Dynamic Task Mapping in NoC-based Heterogeneous MPSoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Workshop on Rapid System Prototyping ![In: 18th IEEE International Workshop on Rapid System Prototyping (RSP 2007), 28-30 May 2007, Porto Alegre, RS, Brazil, pp. 34-40, 2007, IEEE Computer Society, 978-0-7695-2834-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Taikyeong Jeong, Jinsuk Kang, Youngjun John, Inhwa Choi, Sungsoo Choi, Hyosik Yang, Gyung-Leen Park, Sehwan Yoo |
A Time Division Multiplexing (TDM) Logic Mapping Method for Computational Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part I, pp. 1096-1106, 2007, Springer, 978-3-540-74468-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Namyoun Choi, Il-Yeol Song, Hyoil Han |
A survey on ontology mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Rec. ![In: SIGMOD Rec. 35(3), pp. 34-41, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
World Wide Web (WWW) |
22 | Wei-Kei Mak, C.-L. Lai |
On Constrained Pin-Mapping for FPGA-PCB Codesign. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(11), pp. 2393-2401, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Hong Liu 0008, Xuezhi Deng, Hongbin Zha, Ding Ding |
A Path Planner in Changing Environments by Using W-C Nodes Mapping Coupled with Lazy Edges Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 4078-4083, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Zhiwei Zhu, Qiang Ji, Kristin P. Bennett |
Nonlinear Eye Gaze Mapping Function Estimation via Support Vector Regression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (1) ![In: 18th International Conference on Pattern Recognition (ICPR 2006), 20-24 August 2006, Hong Kong, China, pp. 1132-1135, 2006, IEEE Computer Society, 0-7695-2521-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Yi Zhao, Xia Wang, Wolfgang A. Halang |
Ontology Mapping based on Rough Formal Concept Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICT/ICIW ![In: Advanced International Conference on Telecommunications and International Conference on Internet and Web Applications and Services (AICT/ICIW 2006), 19-25 February 2006, Guadeloupe, French Caribbean, pp. 180, 2006, IEEE Computer Society, 0-7695-2522-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Sylvain Faisan, Laurent Thoraval, Jean-Paul Armspach, Marie-Noëlle Metz-Lutz, Fabrice Heitz |
Unsupervised learning and mapping of active brain functional MRI signals based on hidden semi-Markov event sequence models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Medical Imaging ![In: IEEE Trans. Medical Imaging 24(2), pp. 263-276, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Ron Kimmel, Doron Shaked, Michael Elad, Irwin Sobel |
Space-dependent color gamut mapping: a variational approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 14(6), pp. 796-803, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Baowen Xu, Dazhou Kang, Jianjiang Lu, Yanhui Li, Jixiang Jiang |
Mapping Fuzzy Concepts Between Fuzzy Ontologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (3) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, KES 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part III, pp. 199-205, 2005, Springer, 3-540-28896-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Ligang He, Stephen A. Jarvis, Daniel P. Spooner, David A. Bacigalupo, Guang Tan, Graham R. Nudd |
Mapping DAG-based applications to multiclusters with background workload. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 5th International Symposium on Cluster Computing and the Grid (CCGrid 2005), 9-12 May, 2005, Cardiff, UK, pp. 855-862, 2005, IEEE Computer Society, 0-7803-9074-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | R. Manimegalai, A. Manoj Kumar, B. Jayaram 0002, V. Kamakoti 0001 |
MemMap-pd: Performance Driven Technology Mapping Algorithm for FPGAs with Embedded Memory Blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field Programmable Logic and Application, 14th International Conference , FPL 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings, pp. 1185, 2004, Springer, 3-540-22989-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Rong Xu, Zhiyuan Li 0001 |
Using cache mapping to improve memory performance handheld devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2004 IEEE International Symposium on Performance Analysis of Systems and Software, March 10-12, 2004, Austin, Texas, USA, Proceedings, pp. 106-114, 2004, IEEE Computer Society, 0-7803-8385-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Anca Dobre, Farshad Hakimpour, Klaus R. Dittrich |
Operators and Classification for Data Mapping in Semantic Integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER ![In: Conceptual Modeling - ER 2003, 22nd International Conference on Conceptual Modeling, Chicago, IL, USA, October 13-16, 2003, Proceedings, pp. 534-547, 2003, Springer, 3-540-20299-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Preeti Ranjan Panda, Nikil D. Dutt |
Low-power memory mapping through reducing address bus activity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 7(3), pp. 309-320, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Mark W. Wright, Andrew W. Fitzgibbon, Peter J. Giblin, Robert B. Fisher |
Beyond the Hough Transform: Further Properties of the R-theta Mapping and Their Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Object Representation in Computer Vision ![In: Object Representation in Computer Vision II, ECCV '96 International Workshop, Cambridge. UK, April 13-14, 1996, Proceedings, pp. 361-380, 1996, Springer, 3-540-61750-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
22 | Nashat Mansour, Ravi Ponnusamy, Alok N. Choudhary, Geoffrey C. Fox |
Graph Contraction for Physical Optimization Methods: A Quality-Cost Tradeoff for Mapping Data on Parallel Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 7th international conference on Supercomputing, ICS 1993, Tokyo, Japan, July 20-22, 1993, pp. 1-10, 1993, ACM, 0-89791-600-X. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
22 | Gohar M. Kyureghyan, Alexander Pott |
Some Theorems on Planar Mappings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WAIFI ![In: Arithmetic of Finite Fields, 2nd International Workshop, WAIFI 2008, Siena, Italy, July 6-9, 2008, Proceedings, pp. 117-122, 2008, Springer, 978-3-540-69498-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Planar mapping, Perfect nonlinear mapping, CCZ- equivalence, Image set |
22 | Alexander M. Bronstein, Michael M. Bronstein, Ron Kimmel |
Calculus of Nonrigid Surfaces for Geometry and Texture Manipulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 13(5), pp. 902-913, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Isometric embedding, minimum-distortion mapping, generalized multidimensional scaling, expression exaggeration, virtual dressing, virtual body painting, calculus of surfaces, texture mapping, morphing, correspondence problem, face animation |
22 | Saravanan Muthaiyah, Larry Kerschberg |
Virtual organization security policies: An ontology-based integration approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Syst. Frontiers ![In: Inf. Syst. Frontiers 9(5), pp. 505-514, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Security policy ontology, Security policy domain model, Ontology mapping, Semantic mapping |
22 | Christian Drumm, Matthias Schmitt, Hong Hai Do, Erhard Rahm |
Quickmig: automatic schema matching for data migration projects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the Sixteenth ACM Conference on Information and Knowledge Management, CIKM 2007, Lisbon, Portugal, November 6-10, 2007, pp. 107-116, 2007, ACM, 978-1-59593-803-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
mapping discovery, schema mapping, schema matching, data transformation, data migration |
22 | Dirk Bartz, Benjamin Schnaidt, Jirko Cernik, Ludwig Gauckler, Jan Fischer, Ángel del Río |
Volumetric high dynamic range windowing for better data representation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Afrigraph ![In: Proceedings of the 4th International Conference on Virtual Reality, Computer Graphics, Visualisation and Interaction in Africa, Afrigraph 2006, Cape Town, South Africa, January 25-27, 2006, pp. 137-144, 2006, ACM, 1-59593-288-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
high dynamic range mapping, non-linear data mapping, windowing, volume data |
22 | Cheyne Gaw Ho, Rupert C. D. Young, Chris R. Chatwin |
Sensor Geometry and Sampling Methods for Space-Variant Image Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pattern Anal. Appl. ![In: Pattern Anal. Appl. 5(4), pp. 369-384, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Artificial retina, Complex logarithmic mapping, Retinal mapping, Space-variant sampling, Computer vision, Interpolation |
22 | María J. Cánovas, Marco A. López 0001, Juan Parra, Maxim I. Todorov |
Solving Strategies and Well-Posedness in Linear Semi-Infinite Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 101(1-4), pp. 171-190, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Hadamard well-posedness, feasible set mapping, optimal set mapping, optimal value function, stability, semi-infinite programming |
22 | James M. Butler |
Quantum modeling of distributed object computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 28st Annual Simulation Symposium (SS '95), April 25-28, 1995, Santa Barbara, California, USA, pp. 175-, 1995, IEEE Computer Society, 0-8186-7091-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
quantum modeling, computer engineering community, large scale design, large scale verification, LCN model, real-world network topologies, DCO model, system-independent method, complex object oriented computing structures, DCO software mapping, object system mapping model, OSM model, modeling, software engineering, design, message passing, tools, local area networks, digital simulation, object-oriented methods, client-server systems, dynamic models, large scale systems, random processes, tractability, multicomputer systems, distributed object computing, random variables, mission critical systems |
22 | Hyuk-Jae Lee, José A. B. Fortes |
Data Alignments for Modular Time-Space Mappings of BLAS-like Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: The International Conference on Application Specific Array Processors (ASAP'95), July 24-26, 1995, Strasbourg, France, pp. 34-, 1995, IEEE Computer Society, 0-8186-7109-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
modular mapping, data-distribution-independent module, parallelizing compiler, BLAS, space-time mapping, data alignment |
22 | Taiga Takata, Yusuke Matsunaga |
A heuristic algorithm for LUT-based FPGA technology mapping using the lower bound for DAG covering problem (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 289, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
fpga, technology mapping |
Displaying result #201 - #300 of 46846 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ >>] |
|