The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for pipelining with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1979 (17) 1980-1984 (17) 1985-1986 (22) 1987-1988 (24) 1989 (17) 1990 (26) 1991 (17) 1992 (27) 1993 (28) 1994 (43) 1995 (59) 1996 (51) 1997 (52) 1998 (52) 1999 (59) 2000 (66) 2001 (66) 2002 (72) 2003 (82) 2004 (91) 2005 (115) 2006 (114) 2007 (98) 2008 (109) 2009 (76) 2010 (30) 2011 (26) 2012 (15) 2013 (26) 2014 (25) 2015 (30) 2016 (26) 2017 (27) 2018 (24) 2019 (26) 2020 (15) 2021 (21) 2022 (28) 2023 (30) 2024 (5)
Publication types (Num. hits)
article(496) book(1) incollection(9) inproceedings(1241) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1997 occurrences of 975 keywords

Results
Found 1754 publication records. Showing 1754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Improved spill code generation for software pipelined loops. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction-level parallelism, register allocation, software pipelining, spill code
28Johann Großschädl High-Speed RSA Hardware Based on Barret's Modular Reduction Method. Search on Bibsonomy CHES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RSA algorithm, partial parallel multiplier, full-custom VLSI design, pipelining, Public-key cryptography, modular arithmetic
28Christopher A. Healy, Robert D. Arnold, Frank Mueller 0001, David B. Whalley, Marion G. Harmon Bounding Pipeline and Instruction Cache Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF best case execution time, Real-time systems, pipelining, timing analysis, worst case execution time, instruction cache
28Kei-Yong Khoo, Alan N. Willson Jr. Single-transistor transparent-latch clocking. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transparent-latch clocking, single-phase clocking scheme, CMOS VLSI designs, single NMOS transistor, allowable width, clock driver, dynamic buffer, architecture-driven voltage scaling, pipelining latches, latch-intensive architectures, filter structures, transposed-form FIR filter, VLSI, flip-flops, clocks, integrated circuit design, digital filters, FIR filters, power dissipation, CMOS digital integrated circuits
28Luca Breveglieri, Luigi Dadda, Vincenzo Piuri Column Compression Pipelined Multipliers. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pipelining, computer arithmetic, multipliers
28Toshio Nakatani, Kemal Ebcioglu Making Compaction-Based Parallelization Affordable. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF compaction-based parallelization, code explosion problem, software lookahead heuristic, VLIW parallelizing compiler, branch-intensive code, AIX utilities, fgrep, sed, parallel programming, parallel architectures, compress, program, sort, instruction-level parallelism, software pipelining, pipeline processing, instruction sets, loop parallelization, yacc
28Klaus D. Thalhofer, Karl D. Reinartz A Classification of Algorithms which Are Well Suited for Implementations on the DAP as a Basis for Further Research on Parallel Programming. Search on Bibsonomy CONPAR The full citation details ... 1986 DBLP  DOI  BibTeX  RDF SIMD-principle, algorithm patterns, recursive doubling, binary decomposition, large scale pipelining, routing, Parallel programming, preprocessors
25Yoonjin Kim, Ilhyun Park, Kiyoung Choi, Yunheung Paek Power-conscious configuration cache structure and code mapping for coarse-grained reconfigurable architecture. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF coarse-grained reconfigurable architecture (CGRA), context pipelining, temporal mapping, low power, system-on-chip (SoC), loop pipelining, configuration cache, spatial mapping
25SangMin Shim, Soo-Mook Moon Split-path Enhanced Pipeline Scheduling for Loops with Control Flows. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF all-path pipelining, enhanced pipeline scheduling, initiation interval, multi-path loops, software pipelining, modulo scheduling
25David Bernstein, Haran Boral, Ron Y. Pinter Optimal Chaining in Expression Trees. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF optimal chaining, vector instructions pipelining, explicit pipelining, expression trees, linear time scheduling algorithm, scheduling, computational complexity, parallel programming, dynamic programming, pipeline processing, automatic programming, automatic code generation, complexity analysis, Cray-1, dynamic programming algorithms
23Jialu Huang, Arun Raman, Thomas B. Jablin, Yun Zhang 0005, Tzu-Han Hung, David I. August Decoupled software pipelining creates parallelization opportunities. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DSWP, enabling transformation, parallelization, multicore, speculation
23Karl Papadantonakis, Nachiket Kapre, Stephanie Chan, André DeHon Pipelining Saturated Accumulation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Zubair Nawaz, Thomas Marconi, Koen Bertels, Todor P. Stefanov Flexible pipelining design for recursive variable expansion. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Chua-Chin Wang, Chi-Chun Huang, Ching-Li Lee, Tsai-Wen Cheng A Low Power High-Speed 8-Bit Pipelining CLA Design Using Dual-Threshold Voltage Domino Logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sejong Oh, Tag Gon Kim, Jeonghun Cho, Elaheh Bozorgzadeh Speculative Loop-Pipelining in Binary Translation for Hardware Acceleration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Mark Muir, Tughrul Arslan, Iain Lindsay Automated Dynamic Throughput-constrained Structural-level Pipelining in Streaming Applications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Zhikun Wang, Dan Feng 0001, Ke Zhou 0001, Fang Wang 0001 PCOW: Pipelining-Based COW Snapshot Method to Decrease First Write Penalty. Search on Bibsonomy GPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Yoonjin Kim, Rabi N. Mahapatra Reusable context pipelining for low power coarse-grained reconfigurable architecture. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Yuanming Zhang, Kanemitsu Ootsu, Takashi Yokota, Takanobu Baba Clustered Decoupled Software Pipelining on Commodity CMP. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Neil Vachharajani, Ram Rangan, Easwaran Raman, Matthew J. Bridges, Guilherme Ottoni, David I. August Speculative Decoupled Software Pipelining. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Nan Wang, Azeez Sanusi, Peiyi Zhao, Shaheen Mohamed, Magdy A. Bayoumi PMCNOC: A Pipelining Multi-Channel Central Caching Network-on-Chip Communication Architecture Design. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Yoshiyuki Yamashita, Masato Tsuru Software Pipelining for Packet Filters. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Ricardo Menotti, Eduardo Marques, João M. P. Cardoso Aggressive Loop Pipelining for Reconfigurable Architectures. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Yong Dou, Jinhui Xu 0002, Guiming Wu The Implementation of a Coarse-Grained Reconfigurable Architecture with Loop Self-pipelining. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Ronald D. Barnes, John W. Sias, Erik M. Nystrom, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu Beating In-Order Stalls with "Flea-Flicker" Two-Pass Pipelining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache-miss tolerance, prefetching, out-of-order execution, Runahead execution
23Mario R. Casu, Luca Macchiarulo Floorplanning With Wire Pipelining in Adaptive Communication Channels. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Perttu Salmela, Pekka Jääskeläinen, Tuomas Järvinen, Jarmo Takala Software Pipelining Support for Transport Triggered Architecture Processors. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Yu-Han Chen, Tung-Chien Chen, Liang-Gee Chen Power-Scalable Algorithm and Reconfigurable Macro-Block Pipelining Architecture of H.264 Encoder for Mobile Application. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Timothy M. McPhillips, Shawn Bowers An approach for pipelining nested collections in scientific workflows. Search on Bibsonomy SIGMOD Rec. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Lin Qiao, Weitong Huang, Zhizhong Tang A Dynamic Data Dependence Analysis Approach for Software Pipelining. Search on Bibsonomy NPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Guilherme Ottoni, Ram Rangan, Adam Stoler, David I. August Automatic Thread Extraction with Decoupled Software Pipelining. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Yanjun Zhang, Hu He 0001, Yihe Sun A new register file access architecture for software pipelining in VLIW processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23T. S. B. Sudarshan, Rahil Mir, S. Vijayalakshmi DRIL- A Flexible Architecture for Blowfish Encryption Using Dynamic Reconfiguration, Replication, Inner-Loop Pipelining, Loop Folding Techniques. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Blowfish, inner loop pipeline, loop folding, four - tier architecture, Platform independent architecture, DRIL Architecture, replication, Dynamic reconfiguration
23Laura Pozzi, Paolo Ienne Exploiting pipelining to relax register-file port constraints of instruction-set extensions. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic instruction-set extension, constrained scheduling, embedded customised architectures, multi-cycle register access, input/output
23Luca Macchiarulo, Shih-Min Shu, Malgorzata Marek-Sadowska Pipelining Sequential Circuits with Wave Steering. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Ram Rangan, Neil Vachharajani, Manish Vachharajani, David I. August Decoupled Software Pipelining with the Synchronization Array. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23François-Xavier Standaert, Siddika Berna Örs, Bart Preneel Power Analysis of an FPGA: Implementation of Rijndael: Is Pipelining a DPA Countermeasure? Search on Bibsonomy CHES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Ronald D. Barnes, Erik M. Nystrom, John W. Sias, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu Beating in-order stalls with "flea-flicker" two-pass pipelining. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Giacinto Paolo Saggese, Antonino Mazzeo, Nicola Mazzocca, Antonio G. M. Strollo An FPGA-Based Performance Analysis of the Unrolling, Tiling, and Pipelining of the AES Algorithm. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Yiqun Zhu, Mohammed Benaissa Reconfigurable Viterbi Decoding Using a New ACS Pipelining Technique. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Darin Petkov, Randolph E. Harr, Saman P. Amarasinghe Efficient Pipelining of Nested Loops: Unroll-and-Squash. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Elsie Nallipogu, Füsun Özgüner, Mario Lauria Improving the Throughput of Remote Storage Access through Pipelining. Search on Bibsonomy GRID The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Oswaldo Cadenas, Graham M. Megson Pipelining Considerations for an FPGA Case. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23David Gregg Global Software Pipelining with Iteration Preselection. Search on Bibsonomy CC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Smita Bakshi, Daniel D. Gajski Partitioning and pipelining for performance-constrained hardware/software systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Wei-Kai Cheng, Youn-Long Lin Code generation of nested loops for DSP processors with heterogeneous registers and structural pipelining. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF code generation, DSP
23Yoshio Kameda, Stanislav Polonsky, Masaaki Maezawa, Takashi Nanya Primitive-Level Pipelining Method on Delay-Insensitive Model for RSFQ Pulse-Driven Logic. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF pulse-driven logic, Josephson junction device, RSFQ device, pipeline, asynchronous circuit, delay-insensitive circuit
23Vinoo Srinivasan, Ranga Vemuri A Retiming Based Relaxation Heuristic for Resource-Constrained Loop Pipelining. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Liang-Fang Chao, Andrea S. LaPaugh, Edwin Hsing-Mean Sha Rotation scheduling: a loop pipelining algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Erik R. Altman, Guang R. Gao Optimal Software Pipelining Through Enumeration of Schedules. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Steve Carr 0001, Chen Ding, Philip H. Sweany Improving Software Pipelining with Unroll-and-Jam. Search on Bibsonomy HICSS (1) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Montserrat Bóo, Francisco Argüello, Javier D. Bruguera, Emilio L. Zapata High-Speed Viterbi Decoder: An Efficient Scheduling Method to Exploit the Pipelining. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Erik R. Altman, Ramaswamy Govindarajan, Guang R. Gao Scheduling and Mapping: Software Pipelining in the Presence of Structural Hazards. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao Minimizing register requirements under resource-constrained rate-optimal software pipelining. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Donald A. Joy, Maciej J. Ciesielski Clock period minimization with wave pipelining. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Evelyn Duesterwald, Rajiv Gupta 0001, Mary Lou Soffa Register Pipelining: An Integrated Approach to Register Allocation for Scalar and Subscripted Variables. Search on Bibsonomy CC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
23Jan Hoogerbrugge, Henk Corporaal Comparing Software Pipelining for an Operation-Triggered and a Tarnsport-Triggered Architecture. Search on Bibsonomy CC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
23Jean Duprat, Mario Fiallos Aguilar On the Simulation of Pipelining of Fully Digit On-Line Floating-Point Adder Networks on Massively Parallel Computers. Search on Bibsonomy CONPAR The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
23Reinhard J. Richter A Reconfigurable Interconnection Network for Flexible Pipelining. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23T. E. A. Lees Context streams a theoretical basis for a generic form of MIMD pipelining. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Kazuaki J. Murakami, Naohiko Irie, Morihiro Kuga, Shinji Tomita SIMP (Single Instruction stream/Multiple Instruction Pipelining): A Novel High-Speed Single-Processor Architecture. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Kemal Ebcioglu A compilation technique for software pipelining of loops with conditional jumps. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
23Douglas W. Clark Pipelining and Performance in the VAX 8800 Processor. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF VAX
23C. V. Ramamoorthy, K. H. Kim Pipelining: the generalized concept and sequencing strategies. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
23Chun Jason Xue, Edwin Hsing-Mean Sha, Zili Shao, Meikang Qiu Effective Loop Partitioning and Scheduling under Memory and Register Dual Constraints. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Wei Dong 0002, Peng Li 0001, Xiaoji Ye WavePipe: parallel transient simulation of analog and digital circuits on multi-core shared-memory machines. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel computing, multi-core, transient simulation
23Suhyun Kim, Soo-Mook Moon Rotating Register Allocation for Enhanced Pipeline Scheduling. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Vinay Sriram, David Kearney A FPGA Implementation of Variable Kernel Convolution. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Alireza Hodjat, Ingrid Verbauwhede Area-Throughput Trade-Offs for Fully Pipelined 30 to 70 Gbits/s AES Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crypto-processor, security, VLSI, cryptography, Advanced Encryption Standard (AES), ASIC, hardware architectures
23Samuel Larsen, Rodric M. Rabbah, Saman P. Amarasinghe Exploiting Vector Parallelism in Software Pipelined Loops. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Hoang Q. Dao, Bart R. Zeydel, Vojin G. Oklobdzija Architectural Considerations for Energy Efficiency. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Vidyasagar Nookala, Sachin S. Sapatnekar Designing optimized pipelined global interconnects: algorithms and methodology impact. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Mihai Budiu, Seth Copen Goldstein Optimizing Memory Accesses For Spatial Computation. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Yi Qian, Steve Carr 0001, Philip H. Sweany Loop fusion for clustered VLIW architectures. Search on Bibsonomy LCTES-SCOPES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF clustered VLIW architectures, loop fusion
23Masa-Aki Fukase, Ryusuke Egawa, Tomoaki Sato, Tadao Nakamura Scaling Up Of Wave Pipelines. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23José G. Delgado-Frias, Jabulani Nyathi, Laxmi N. Bhuyan A wave-pipelined router architecture using ternary associative memory. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Chung-Sheng Li, Kumar N. Sivarajan, David G. Messerschmitt Statistical analysis of timing rules for high-speed synchronous VLSI systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Eric Stotzer, Ernst L. Leiss Modulo Scheduling for the TMS320C6x VLIW DSP Architecture. Search on Bibsonomy Workshop on Languages, Compilers, and Tools for Embedded Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Sung-Kwan Kim, Sang Lyul Min, Rhan Ha Analysis of the Impacts of Overestimation Sources on the Accuracy of Worst Case Timing Analysis. Search on Bibsonomy RTSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF real-time systems, WCET analysis
23Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Han-Saem Yun Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23S. Summerfield, Zhongfeng Wang, Keshab K. Parhi Area-power-time efficient pipeline-interleaved architectures for wave digital filters. Search on Bibsonomy ISCAS (3) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Cristina Barrado, Jesús Labarta Hamiltonian Recurrence for ILP. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Mary Jane Irwin, Robert Michael Owens A case for digit serial VLSI signal processors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23François Bodin, François Charot Loop optimization for horizontal microcoded machines. Search on Bibsonomy ICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Krishna P. Mikkilineni, Stanley Y. W. Su An Evaluation of Relational Join Algorithms in a Pipelined Query Processing Environment. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF timing equations, relational join algorithms, pipelined query processing environment, nested block, sort-merge, pipelined sort-merge, performance evaluation, relational databases, relational databases, distributed processing, distributed databases, distributed databases, sorting, database theory, hash, pipeline processing, merging, query execution
23Chung-Ta King, Wen-Hwa Chou, Lionel M. Ni Pipelined data parallel algorithms - concept and modeling. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Shlomo Weiss, James E. Smith 0001 A Study of Scalar Compilation Techniques for Pipelined Supercomputers. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
20Eddy Z. Zhang, Yunlian Jiang, Ziyu Guo, Xipeng Shen Streamlining GPU applications on the fly: thread divergence elimination through runtime thread-data remapping. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CPU-GPU pipelining, thread divergence, thread-data remapping, GPGPU, data transformation
20Maxwell Walton, Gary Gréwal, Gerarda A. Darlington Parallel FPGA-based implementation of scatter search. Search on Bibsonomy GECCO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 0-1 knapsack problem, field programmable gate arrays, pipelining, hardware acceleration, data parallelism, scatter search
20Abhishek Udupa, R. Govindarajan, Matthew J. Thazhuthaveetil Synergistic execution of stream programs on multicores with accelerators. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CUDAa, partitioning, software pipelining, stream programming, GPU programming
20Roger F. Woods, John V. McCanny, John G. McWhirter From Bit Level Systolic Arrays to HDTV Processor Chips. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SoC architectures, DSP systems, pipelining, systolic arrays
20Hongbo Rong, Alban Douillet, Guang R. Gao Register allocation for software pipelined multidimensional loops. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register allocation, Software pipelining
20Abhishek Das, William J. Dally Stream Scheduling: A Framework to Manage Bulk Operations in Memory Hierarchies. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Stream Scheduling, Bulk Operations, Sequoia, GSOP Memory Hierarchy, Tunables, Software Pipelining
20Suhyun Kim, Soo-Mook Moon Rotating register allocation with multiple rotating branches. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF rotating register, register allocation, software pipelining
20Chung-Kai Chen, Ling-Hua Tseng, Shih-Chang Chen, Young-Jia Lin, Yi-Ping You, Chia-Han Lu, Jenq Kuen Lee Enabling compiler flow for embedded VLIW DSP processors with distributed register files. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF distributed register files, embedded VLIW DSP compilers, software pipelining
20Klaus Schöffmann, Markus Fauster, Oliver Lampl, László Böszörményi An Evaluation of Parallelization Concepts for Baseline-Profile Compliant H.264/AVC Decoders. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Evaluation, Parallelization, Pipelining, H.264, Decoding, Multi-Threading, AVC
20Ronald D. Barnes, Shane Ryoo, Wen-mei W. Hwu Tolerating Cache-Miss Latency with Multipass Pipelines. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Flea-flicker, multipass pipelining, memory-latency tolerance, in-order design
20Abhishek Das, William J. Dally, Peter R. Mattson Compiling for stream processing. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SRF allocation, Stream Operation Precedence (SOP) graph, StreamC, coarse-grained operations, producer-consumer locality, scoreboard slot assignment, stream scheduling, strip-mining, software-pipelining, task level parallelism, stream programming model
20Peter Sanders 0001, Jesper Larsson Träff Parallel Prefix (Scan) Algorithms for MPI. Search on Bibsonomy PVM/MPI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MPI implementation, pipelining, collective communication, prefix sum, Cluster of SMPs
20Genhua Jin, Hyuk-Jae Lee A Parallel and Pipelined Execution of H.264/AVC Intra Prediction. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF block processing order, pipelining, H.264, parallel execution, Intra prediction
Displaying result #201 - #300 of 1754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license