The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1980 (17) 1981-1983 (19) 1984-1985 (19) 1986 (15) 1987 (18) 1988 (32) 1989 (25) 1990 (42) 1991 (49) 1992 (53) 1993 (70) 1994 (73) 1995 (112) 1996 (124) 1997 (132) 1998 (218) 1999 (234) 2000 (382) 2001 (285) 2002 (477) 2003 (561) 2004 (661) 2005 (789) 2006 (1067) 2007 (976) 2008 (1061) 2009 (868) 2010 (883) 2011 (832) 2012 (732) 2013 (802) 2014 (786) 2015 (791) 2016 (704) 2017 (752) 2018 (793) 2019 (806) 2020 (922) 2021 (1159) 2022 (1243) 2023 (1212) 2024 (305)
Publication types (Num. hits)
article(7338) book(22) data(1) incollection(68) inproceedings(13188) phdthesis(411) proceedings(73)
Venues (Conferences, Journals, ...)
CoRR(917) FPL(904) ReConFig(900) ARC(699) ACM Trans. Reconfigurable Tech...(505) ReCoSoC(411) IEEE Access(378) FCCM(372) ERSA(343) IPDPS(303) ISCAS(285) Int. J. Reconfigurable Comput.(268) DATE(253) IEEE Trans. Very Large Scale I...(225) FPT(222) FPGA(200) More (+10 of total 2266)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5390 occurrences of 2060 keywords

Results
Found 21101 publication records. Showing 21101 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Roland Kasper, Steffen Toscher Reconfigurable Controllers - A Mechatronic Systems Approach. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Alexander Thomas, Jürgen Becker 0001 Development and Synthesis of Adaptive Multi-grained Reconfigurable Hardware Architecture for Dynamic Function Patterns. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Enno Lübbers, Marco Platzner ReconOS: An Operating System for Dynamically Reconfigurable Hardware. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Matthias Alles, Timo Vogt, Christian Brehm, Norbert Wehn FlexiChaP: A Dynamically Reconfigurable ASIP for Channel Decoding for Future Mobile Systems. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Christopher Claus, Walter Stechele AutoVision - Reconfigurable Hardware Acceleration for Video-Based Driver Assistance. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Marc Stöttinger, Felix Madlener, Sorin A. Huss Procedures for Securing ECC Implementations Against Differential Power Analysis Using Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Tobias G. Noll, Thorsten von Sydow, Bernd Neumann, Jochen Schleifer, Thomas Coenen, Götz Kappen Reconfigurable Components for Application-Specific Processor Architectures. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Sven Eisenhardt, Thomas Schweizer, Julio A. de Oliveira Filho, Tommy Kuhn, Wolfgang Rosenstiel Evaluation and Design Methods for Processor-Like Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Carsten Albrecht, Jürgen Foag, Roman Koch, Erik Maehle, Thilo Pionteck DynaCORE - Dynamically Reconfigurable Coprocessor for Network Processors. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Christian Haubelt, Dirk Koch, Felix Reimann, Thilo Streichert, Jürgen Teich ReCoNets - Design Methodology for Embedded Systems Consisting of Small Networks of Reconfigurable Nodes and Connections. Search on Bibsonomy Dynamically Reconfigurable Systems The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
28Peter M. Athanas, Jürgen Becker 0001, Jürgen Teich, Ingrid Verbauwhede 10281 Abstracts Collection - Dynamically Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28Peter M. Athanas, Jürgen Becker 0001, Jürgen Teich, Ingrid Verbauwhede 10281 Summary - Dynamically Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28René Cumplido, Juan M. Campos, Claudia Feregrino Uribe, Jose Roberto Perez-Andrade Towards a reconfigurable hardware architecture for implementing a LDPC module suitable for software radio systems. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28Jim Tørresen, Dirk Koch A new project to address run-time reconfigurable hardware systems. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28Jens Huthmann, Peter Müller 0010, Florian Stock, Dietmar Hildenbrand, Andreas Koch 0001 Compiling Geometric Algebra Computations into Reconfigurable Hardware Accelerators. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28Matthias Hanke, Tim Kranich, Mladen Berekovic, Yannis Papaefstathiou Low-Power Reconfigurable Architectures for High-Performance Mobile Nodes. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28Walter Stechele, Christopher Claus, Andreas Laika Lessons Learned from last 4 Years of Reconfigurable Computing. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2010 DBLP  BibTeX  RDF
28Rainer Buchty Reconfigurable Architectures and Instruction Sets: Programmability, Code Generation, and Program Execution. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Douglas L. Maskell, Timothy F. Oliver Pre-Routed FPGA Cores for Rapid System Construction in a Dynamic Reconfigurable System. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Jürgen Becker 0001, Jürgen Teich, Gordon J. Brebner, Peter M. Athanas 06141 Abstracts Collection -- Dynamically Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Jürgen Becker 0001, Jürgen Teich, Gordon J. Brebner, Peter M. Athanas 06141 Executive Summary -- Dynamically Reconfigurable Architectures. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Norbert Wehn, Timo Vogt, Christian Neeb A Reconfigurable Outer Modem Platform for Future Communications Systems. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28József Vásárhelyi, Péter Serfözö Analysis of Mojette Transform Implementation on Reconfigurable Hardware. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Peter Zipf, Manfred Glesner Towards an Automated Design of Application-specific Reconfigurable Logic. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Walter Stechele Dynamically Reconfigurable Systems-on-Chip. Search on Bibsonomy Dynamically Reconfigurable Architectures The full citation details ... 2006 DBLP  BibTeX  RDF
28Aravind Dasu, Ali Akoglu, Sethuraman Panchanathan An Analysis Tool Set for Reconfigurable Media Processing. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Vincent Nollet, Jean-Yves Mignolet, T. Andrei Bartic, Diederik Verkest, Serge Vernalde, Rudy Lauwereins Hierarchical Run-Time Reconfiguration Managed by an Operating System for Reconfigurable Systems. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28James M. McCollum, Joseph M. Lancaster, Gregory D. Peterson Using Reconfigurable Computing to Accelerate Simulation Applications. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28X. Zhang, Gabriel Dragffy, Anthony G. Pipe, Nigel Gunton, Quan Min Zhu A Reconfigurable Self-Healing Embryonic Cell Architecture. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Christophe Wolinski, Frans Trouw, Maya B. Gokhale A Preliminary Study of Molecular Dynamics on Reconfigurable Computers. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Soheil Ghiasi, Hyun J. Moon, Majid Sarrafzadeh Collaborative and Reconfigurable Object Tracking. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Gerard K. Rauwerda, Gerard J. M. Smit, L. F. W. van Hoesel, Paul M. Heysters Mapping Wireless Communication Algorithms to a Reconfigurable Architecture. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Janusz A. Starzyk, Yongtao Guo Dynamically Self-Reconfigurable Machine Learning Structure for FPGA Implementation. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Neil W. Bergmann, John A. Williams 0001, Peter Waldeck Egret: A Flexible Platform for Real-Time Reconfigurable Systems on Chip. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Darrin M. Hanna, Richard E. Haskell Using Flowpaths for the High-Level Synthesis of Reconfigurable Systems. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Nikolaos G. Bartzoudis, Alexandros G. Fragkiadakis, David J. Parish, Jose Luis Nunez, Mark Sandford Reconfigurable Computing and Active Networks. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Herbert Walder, Marco Platzner Reconfigurable Hardware Operating Systems: From Design Concepts to Realizations. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28John P. Morrison, Padraig J. O'Dowd, Philip D. Healy Searching RC5 Keyspaces with Distributed Reconfigurable Hardware. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Jack S. N. Jean, Xinzhong Guo, Fei Wang 0009, Lei Song, Ying Zhang A Study of Mapping Generalized Sliding Window Operations on Reconfigurable Computers. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Lilian Bossuet, Guy Gogniat, Jean Luc Philippe Fast Design Space Exploration Method for Reconfigurable Architectures. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Linda Kaouane, Mohamed Akil, Thierry Grandpierre, Yves Sorel A Methodology to Implement Real-Time Applications on Reconfigurable Circuits. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Nick Tredennick, Brion Shimamoto The Rise of Reconfigurable Systems. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Valery Sklyarov, Iouliia Skliarova Architecture of a Reconfigurable Processor for Implementing Search Algorithm over Discrete Matrices. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Dominique Lavenier, Stéphane Guyetant, Steven Derrien, Stéphane Rubini A Reconfigurable Parallel Disk System for Filtering Genomic Banks. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
28Shawn A. Bohner, Ramya Ravichandar, James D. Arthur Model-based engineering for change-tolerant systems. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Change tolerance, Capabilities engineering, Model-driven architecture, Reconfigurable computing, Complex systems, Agent-based systems, Model-based engineering
28Ronald Hecht, Stephan Kubisch, Harald Michelsen, Elmar Zeeb, Dirk Timmermann A distributed object system approach for dynamic reconfiguration. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28José Manuel Moya, Javier Rodríguez Escolar, Julio Martín, Juan Carlos Vallejo, Pedro Malagón, Álvaro Araujo, Juan-Mariano de Goyeneche, Agustín Rubio, Elena Romero, Daniel Villanueva, Octavio Nieto-Taladriz, Carlos A. López-Barrio SORU: A Reconfigurable Vector Unit for Adaptable Embedded Systems. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Application-transparent adaptation, Ubiquitous computing, Reconfigurable hardware, Adaptable architectures
28Debora Matos, Caroline Concatto, Luigi Carro, Fernanda Lima Kastensmidt, Altamiro Amadeu Susin The Need for Reconfigurable Routers in Networks-on-Chip. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF heterogeneous NoC, reconfigurable router, buffer, FIFO
28Peiheng Zhang, Guangming Tan, Guang R. Gao Implementation of the Smith-Waterman algorithm on a reconfigurable supercomputing platform. Search on Bibsonomy HPRCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable supercomputing, FPGA, coprocessor, Smith-Waterman algorithm
28Esam El-Araby, Iván González, Tarek A. El-Ghazawi Performance bounds of partial run-time reconfiguration in high-performance reconfigurable computing. Search on Bibsonomy HPRCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF field programmable gate arrays (FPGA), high performance computing, reconfigurable computing, dynamic partial reconfiguration
28Christophe Bobda, Ali Ahmadinia Dynamic Interconnection of Reconfigurable Modules on Reconfigurable Devices. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Dynamic Interconnection, Network on Chip, Reconfigurable Hardware
28Daniel Ménard, Emmanuel Casseau, Shafqat Khan, Olivier Sentieys, Stéphane Chevobbe, Stéphane Guyetant, Raphaël David Reconfigurable Operator Based Multimedia Embedded Processor. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Tobias Becker, Wayne Luk, Peter Y. K. Cheung Parametric Design for Reconfigurable Software-Defined Radio. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Josef Angermeier, Ulrich Batzer, Mateusz Majer, Jürgen Teich, Christopher Claus, Walter Stechele Reconfigurable HW/SW Architecture of a Real-Time Driver Assistance System. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Frank Bouwens, Mladen Berekovic, Andreas Kanstein, Georgi Gaydadjiev Architectural Exploration of the ADRES Coarse-Grained Reconfigurable Array. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Edson Pedro Ferlin, Heitor S. Lopes, Carlos Raimundo Erig Lima, Ederson Cichaczewski Reconfigurable Parallel Architecture for Genetic Algorithms: Application to the Synthesis of Digital Circuits. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Yeong-Jae Oh, Hanho Lee, Chong Ho Lee Dynamic Partial Reconfigurable FIR Filter Design. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Katherine Compton, Akshay Sharma, Shawn Phillips, Scott Hauck Flexible Routing Architecture Generation for Domain-Specific Reconfigurable Subsystems. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Domingo Benitez A Quantitative Understanding of the Performance of Reconfigurable Coprocessors. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Kazuya Tanigawa, Tetsuo Hironaka, Akira Kojima, Noriyoshi Yoshida A Generalized Execution Model for Programming on Reconfigurable Architectures and an Architecture Supporting the Model. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Hideharu Amano, Yuichiro Shibata, Masaki Uno Reconfigurable Systems: New Activities in Asia. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Ou Yamamoto, Yuichiro Shibata, Hitoshi Kurosawa, Hideharu Amano A Reconfigurable Stochastic Model Simulator for Analysis of Parallel Systems. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Abdellah Touhafi A Multi-node Dynamic Reconfigurable Computing System with Distributed Reconfiguration Controller. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Milan Vasilko Design Visualisation for Dynamically Reconfigurable Systems. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Min Xie, Youren Wang, Li Wang, Yuan Zhang Design on Operator-Based Reconfigurable Hardware Architecture and Cell Circuit. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Operator-based programmable cell circuit, FPGA, Reconfigurable computing, Reconfigurable hardware, Information processing
26Siu-Cheung Chau, Ada Wai-Chee Fu A reconfigurable fault-tolerant hypercube architecture with global sparing. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable fault-tolerant hypercube architecture, global sparing, reliability, fault tolerant computing, reconfigurable architectures, hypercube networks, switching networks, switching networks
26Douglas Chang, Malgorzata Marek-Sadowska Partitioning Sequential Circuits on Dynamically Reconfigurable FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Dynamically reconfigurable FPGAs, time-mulitplexed FPGA, Dharma, DPGA, field programmable gate array, partitioning, reconfigurable computing, sequential circuit, force directed scheduling
26Jeffrey A. Jacob, Paul Chow Memory Interfacing and Instruction Specification for Reconfigurable Processors. Search on Bibsonomy FPGA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF memory interfacing, FPGA, reconfigurable computer, reconfigurable processor, memory coherence
26Sanguthevar Rajasekaran Mesh Connected Computers with Fixed and Reconfigurable Buses: Packet Routing and Sorting. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mesh with reconfigurable buses, mesh with fixed buses, k?k routing, k?k sorting, parallel computing, randomized algorithms, sorting, mesh, packet routing, Reconfigurable networks
26Ridha Djemal, Guy Mazaré, Gérard Michel Toward reconfigurable associative architecture for high speed communication operators. Search on Bibsonomy ECBS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reconfigurable associative architecture, high speed communication operators, associative organization, complex data structures, structured addressable associative memory, high speed communication protocols, CAM architectures, SYMOPSYS tool, architecture validation, FPGA, real-time systems, real-time, asynchronous transfer mode, asynchronous transfer mode, local area networks, local area network, reconfigurable architectures, memory architecture, LAN, content-addressable storage
26Bradly K. Fawcett, J. Watson Reconfigurable Processing With Field Programmable Gate Arrays. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reconfigurable processing, internal architecture, computationally-intensive tasks, programmable solution, XC6200 FPGA architecture, SRAM control store, on-chip memory capability, field programmable gate arrays, interconnections, reconfigurable architectures, processors, coprocessors, coprocessors, SRAM chips, SRAM-based field programmable gate arrays
26Yung-Yuan Chen, Ching-Hwa Cheng, Jwu-E Chen An efficient switching network fault diagnosis for reconfigurable VLSI/WSI array processors. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF switching network fault diagnosis, reconfigurable VLSI/WSI array processors, switching network defects, killing error, testing circuit overhead, diagnosis time, mesh array, VLSI, parallel architectures, fault diagnosis, reconfigurable architectures, multiple faults, switching networks, wafer-scale integration, testing quality
26C. S. Yang, L. P. Zu, Y. N. Wu A Reconfigurable Modular Fault-Tolerant Hypercube Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF reconfigurable modular fault-tolerant hypercube, modular hypercube, spare-sharing links, ring connection, local spares, FTM, switch failures, modular reconfiguration, reliability, parallel architectures, fault tolerant computing, reconfigurable architectures, hypercube networks, switches, system recovery, links, nodes, system reliability, link failures, fault-tolerant design, faulty nodes, hypercube system, hypercube architecture
26Sy-Yen Kuo, W. Kent Fuchs Fault Diagnosis and Spare Allocation for Yield Enhancement in Large Reconfigurable PLA's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF reconfigurable PLAs, spare allocation, circuit density, chip size, fault diagnosis algorithm, computational complexity, fault tolerant computing, fault location, programmable logic arrays, multiple faults, logic arrays, yield enhancement, reconfigurable logic, memory structures, circuit reliability, manufacturing yield
26Dimitris Theodoropoulos, Georgi Kuzmanov, Georgi Gaydadjiev A 3d-audio reconfigurable processor. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable computing, communication systems, beamforming, 3d-audio, wave field synthesis
26Diana Göhringer, Michael Hübner 0001, Michael Benz, Jürgen Becker 0001 A semi-automatic toolchain for reconfigurable multiprocessor systems-on-chip: architecture development and application partitioning (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF designflow, toolchain, fpga, partitioning, reconfigurable computing, mpsoc, hardware/software co-design
26Kristian Stevens, Henry Chen, Terry Filiba, Peter L. McMahon, Yun S. Song Application of a reconfigurable computing cluster to ultra high throughput genome resequencing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF genome resequencing, fpga, acceleration, reconfigurable logic
26Zhimin Chen 0002, Richard Neil Pittman, Alessandro Forin Combining multicore and reconfigurable instruction set extensions. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF extensible microprocessors, reconfigurable instruction set extensions, embedded, multi-core
26Amir-Mohammad Rahmani, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Power and performance optimization of voltage/frequency island-based networks-on-chip using reconfigurable synchronous/bi-synchronous FIFOs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF globally asynchronous locally synchronous (gals), low-power and high-performance design, reconfigurable fifos, voltage/frequency islands (vfis), networks-on-chip (nocs)
26Vincenzo Rana, Donatella Sciuto A novel design framework for the design of reconfigurable systems based on NoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF network-on-chip, reconfigurable computing, design flow, mapping algorithm
26Sansiri Tanachutiwat, Ji Ung Lee, Wei Wang 0003, Chun Yung Sung Reconfigurable multi-function logic based on graphene P-N junctions. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF graphene, p-n junction, logic gate, device, reconfigurable logic
26Mehdi Modarressi, Hamid Sarbazi-Azad, Arash Tavakkol An efficient dynamically reconfigurable on-chip network architecture. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, reconfigurable, topology, power, NoC
26Yong Dou, Guiming Wu, Jinhui Xu 0002, Xingming Zhou A coarse-grained reconfigurable computing architecture with loop self-pipelining. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable computing, data driven, loop pipelining, register promotion
26Byunghyun Lee, Ki-Seok Chung, Bontae Koo, Nak-Woong Eum, Taewhan Kim Thermal sensor allocation and placement for reconfigurable systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimal placement, unate-covering problem, reconfigurable system, Thermal sensor
26Ping-Hung Yuh, Chia-Lin Yang, Chi-Feng Li, Chung-Hsiang Lin Leakage-aware task scheduling for partially dynamically reconfigurable FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, placement, Reconfigurable computing, leakage, partially dynamical reconfiguration
26Jason Cong, Karthik Gururaj, Guoling Han Synthesis of reconfigurable high-performance multicore systems. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF coprocessor synthesis, reconfigurable high-performance computing, design space exploration
26Manhwee Jo, Ganghee Lee, Kyungwook Chang, Kyuseung Han, Kiyoung Choi, Hoonmo Yang, Kiwook Yoon Coarse-grained reconfigurable architecture for multiple application domains: a case study. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF system design, coarse-grained reconfigurable architecture
26Björn Osterloh, Harald Michalik, Björn Fiethe SoCWire: A Robust and Fault Tolerant Network-on-Chip Approach for a Dynamic Reconfigurable System-on-Chip in FPGAs. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoCWire, dynamic reconfigurable system, Sytem-on-Chip, Network-on-Chip, SRAM-based FPGA, VMC
26Yupeng Chen, Bertil Schmidt, Douglas L. Maskell A Reconfigurable Bloom Filter Architecture for BLASTN. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genomic sequence analysis, bioinformatics, reconfigurable computing, Bloom filter
26Juan Fernando Eusse Giraldo, Michael Hübner 0001, Ricardo Pezzuol Jacobi BRICK: a multi-context expression grained reconfigurable architecture. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF validation, reconfigurable computing, MIMO, SystemC, co-simulation, coarse grain
26Yoonjin Kim, Rabi N. Mahapatra Hierarchical reconfigurable computing arrays for efficient CGRA-based embedded systems. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF coarse-grained reconfigurable architecture (CGRA), computing hierarchy, embedded systems
26Bingfeng Mei, Bjorn De Sutter, Tom Vander Aa, M. Wouters, Andreas Kanstein, Steven Dupont Implementation of a Coarse-Grained Reconfigurable Media Processor for AVC Decoder. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF H.264/AVC decoder, FPGA, multimedia, embedded system, reconfigurable architecture, VLIW
26D. Dhanasekaran, K. Boopathy Bagan Fault Tolerant Dynamic Antenna Array in Smart Antenna System Using Evolved Virtual Reconfigurable Circuit. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Virtual Reconfigurable circuit, element validation, Evolvable hardware
26Dimitrios Lymberopoulos, Nissanka Bodhi Priyantha, Feng Zhao 0001 mPlatform: a reconfigurable architecture and efficient data sharing mechanism for modular sensor nodes. Search on Bibsonomy IPSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high speed data bus, reconfigurable sensor node, CPLD, modular architecture
26Marco Lanuzza, Stefania Perri, Pasquale Corsonello MORA: A New Coarse-Grain Reconfigurable Array for High Throughput Multimedia Processing. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coarse-grain array, multimedia applications, Reconfigurable systems
26Suvda Myagmar, Roy H. Campbell, Marianne Winslett Security Challenges of Reconfigurable Devices in the Power Grid. Search on Bibsonomy Critical Infrastructure Protection The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable devices, security, software defined radios, Power grid
26Tomokazu Yoneda, Masahiro Imanishi, Hideo Fujiwara Interactive presentation: An SoC test scheduling algorithm using reconfigurable union wrappers. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable union wrapper, system-on-a-chip, test scheduling, test access mechanism
26Monica Magalhães Pereira, Bruno Cruz de Oliveira, Ivan Saraiva Silva RoSA: a reconfigurable stream-based architecture. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF stream-based, reconfigurable architecture, coarse-grained
26Wei-Min Shen, Maks Krivokon, Harris Chi Ho Chiu, Jacob Everist, Michael Rubenstein, Jagadesh Venkatesh Multimode locomotion via SuperBot reconfigurable robots. Search on Bibsonomy Auton. Robots The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multifunctional and Self-reconfigurable robots, Multimode gaits, Modular, Space robots
26Salvatore Carta, Danilo Pani, Luigi Raffo Reconfigurable Coprocessor for Multimedia Application Domain. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multimedia, reconfigurable computing, digital signal processing, domain-specific architectures
Displaying result #201 - #300 of 21101 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license