The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase sub-threshold (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1993-2002 (19) 2003-2004 (19) 2005 (18) 2006 (29) 2007 (22) 2008 (33) 2009 (23) 2010 (19) 2011 (27) 2012 (28) 2013 (15) 2014 (26) 2015 (23) 2016 (15) 2017 (17) 2018 (19) 2019-2020 (22) 2021-2022 (20) 2023 (7)
Publication types (Num. hits)
article(127) book(1) inproceedings(271) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 139 occurrences of 109 keywords

Results
Found 401 publication records. Showing 401 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Roghayeh Saeidi, Mohammad Sharifkhani, Khosrow Hajsadeghi Statistical Analysis of Read Static Noise Margin for Near/Sub-Threshold SRAM Cell. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Mahmoud Zangeneh, Ajay Joshi Sub-threshold logic circuit design using feedback equalization. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Alexandru Amaricai, Sergiu Nimara, Oana Boncalo, Jiaoyan Chen, Emanuel M. Popovici Probabilistic Gate Level Fault Modeling for Near and Sub-Threshold CMOS Circuits. Search on Bibsonomy DSD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Tiansong Cui, Yanzhi Wang, Xue Lin, Shahin Nazarian, Massoud Pedram Semi-analytical current source modeling of FinFET devices operating in near/sub-threshold regime with independent gate control and considering process variation. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Monther Abusultan, Sunil P. Khatri Look-up Table Design for Deep Sub-threshold through Full-Supply Operation. Search on Bibsonomy FCCM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yingbo Zhao, Yintang Yang, Kaushik Mazumdar, Xinfei Guo, Mircea R. Stan A multi-output on-chip switched-capacitor DC-DC converter for near- and sub-threshold power modes. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15C. B. Kushwah, Santosh Kumar Vishvakarma, Devesh Dwivedi Single-ended sub-threshold finfet 7T SRAM cell without boosted supply. Search on Bibsonomy ICICDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15C. B. Kushwah, Santosh Kumar Vishvakarma A sub-threshold eight transistor (8T) SRAM cell design for stability improvement. Search on Bibsonomy ICICDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yanqing Zhang 0002, Benton H. Calhoun Fast, accurate variation-aware path timing computation for sub-threshold circuits. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Tiansong Cui, Shuang Chen 0001, Yanzhi Wang, Shahin Nazarian, Massoud Pedram An efficient semi-analytical current source model for FinFET devices in near/sub-threshold regime considering multiple input switching and stack effect. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Bo Liu, Maryam Ashouei, Tobias Gemmeke, José Pineda de Gyvez Sub-threshold custom standard cell library validation. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yu-Guang Chen, Tao Wang, Kuan-Yu Lai, Wan-Yu Wen, Yiyu Shi 0001, Shih-Chieh Chang Critical Path Monitor Enabled Dynamic Voltage Scaling for Graceful Degradation in Sub-Threshold Designs. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Menka Yadav, Anand Bulusu, Sudeb Dasgupta Two dimensional analytical modeling for asymmetric 3T and 4T double gate tunnel FET in sub-threshold region: Potential and electric field. Search on Bibsonomy Microelectron. J. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15P. Suveetha Dhanaselvam, N. B. Balamurugan, V. N. Ramakrishnan A 2D Transconductance and Sub-threshold behavior model for triple material surrounding gate (TMSG) MOSFETs. Search on Bibsonomy Microelectron. J. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Yingbo Hu, Keshab K. Parhi Design and Optimization of Multiplierless FIR Filters Using Sub-Threshold Circuits. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Ali Sahafi, Jafar Sobhi, Ziaddin Daei Koozehkanani Pico Watt sub-threshold CMOS voltage reference circuit. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Amin Zafarian, Iraj Kalali Fard, Abbas Golmakani, Jalil Shirazi A 0.4V 790μw CMOS low noise amplifier in sub-threshold region at 1.5GHz. Search on Bibsonomy IDT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15KyungSoo Kim, Wansoo Nah, SoYoung Kim Noise-immune design of Schmitt trigger logic gate using DTMOS for sub-threshold circuits. Search on Bibsonomy EMC Compo The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Mingzhong Li, Chio-In Ieong, Man-Kay Law, Pui-In Mak, Mang I Vai, Rui Paulo Martins Sub-threshold standard cell library design for ultra-low power biomedical applications. Search on Bibsonomy EMBC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Changhyuk Lee, Ben Johnson, Alyosha C. Molnar A sub-threshold voltage ladder rectifier for orthogonal current-reuse neural amplifier. Search on Bibsonomy BioCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Weng-Geng Ho, Kwen-Siong Chong, Bah-Hwee Gwee, Joseph S. Chang Low power sub-threshold asynchronous QDI Static Logic Transistor-level Implementation (SLTI) 32-bit ALU. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Nandish Mehta, Kofi A. A. Makinwa Minimum energy point tracking for sub-threshold digital CMOS circuits using an in-situ energy sensor. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Robert Kappel, Mario Auer, Wolfgang Pribyl, Günter Hofer, Gerald Holweg A process-variation compensation scheme to operate CMOS digital logic cells in deep sub-threshold region at 80mV. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Jaeyoung Kim, Kwen-Siong Chong, Joseph Sylvester Chang, Pinaki Mazumder A 250mV sub-threshold asynchronous 8051microcontroller with a novel 16T SRAM cell for improved reliability in 40nm CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Sina Hassanzadeh, Milad Zamani, Khosrow Hajsadeghi, Roghayeh Saeidi A novel low power 8T-cell sub-threshold SRAM with improved read-SNM. Search on Bibsonomy DTIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Milad Zamani, Sina Hassanzadeh, Khosrow Hajsadeghi, Roghayeh Saeidi A 32kb 90nm 9T-cell sub-threshold SRAM with improved read and write SNM. Search on Bibsonomy DTIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Nupur Navlakha, Lokesh Garg, Dharmendar Boolchandani, Vineet Sahula Architectural Level Sub-threshold Leakage Power Estimation of SRAM Arrays with its Peripherals. Search on Bibsonomy VDAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Chien-Yu Hsieh, Ming-Long Fan, Vita Pi-Ho Hu, Pin Su, Ching-Te Chuang Independently-Controlled-Gate FinFET Schmitt Trigger Sub-Threshold SRAMs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Jinn-Shyan Wang, Pei-Yao Chang, Chi-Chang Lin Design of 65 nm Sub-Threshold SRAM Using the Bitline Leakage Prediction Scheme and the Non-trimmed Sense Amplifier. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Meeta Srivastav, Michael B. Henry, Leyla Nazhandali Design of energy-efficient, adaptable throughput systems at near/sub-threshold voltage. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Rohit Dhiman, Rajeevan Chandel Sub-Threshold Delay and Power Analysis of Complementary Metal-Oxide Semiconductor Buffer Driven Interconnect Load for Ultra Low Power Applications. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Jacopo Franco, S. Graziano, Ben Kaczer, Felice Crupi, Lars-Åke Ragnarsson, Tibor Grasser, Guido Groeseneken BTI reliability of ultra-thin EOT MOSFETs for sub-threshold logic. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Yingchieh Ho, Hung-Kai Chen 0001, Chauchin Su Energy-Effective Sub-Threshold Interconnect Design Using High-Boosting Predrivers. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Jornt R. De Gruijl, Paolo Bazzigaluppi, Marcel T. G. de Jeu, Chris I. De Zeeuw Climbing Fiber Burst Size and Olivary Sub-threshold Oscillations in a Network Setting. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Nele Reynders, Wim Dehaene Variation-Resilient Building Blocks for Ultra-Low-Energy Sub-Threshold Design. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Alicia Klinefelter, Yanqing Zhang 0002, Brian P. Otis, Benton H. Calhoun A Programmable 34 nW/Channel Sub-Threshold Signal Band Power Extractor on a Body Sensor Node SoC. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Ryo Takahashi, Hidehiro Takata, Tadashi Yasufuku, Hiroshi Fuketa, Makoto Takamiya, Masahiro Nomura, Hirofumi Shinohara, Takayasu Sakurai Large Within-Die Gate Delay Variations in Sub-Threshold Logic Circuits at Low Temperature. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Adam Teman, Anatoli Mordakhay, Janna Mezhibovsky, Alexander Fish A 40-nm Sub-Threshold 5T SRAM Bit Cell With Improved Read and Write Stability. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Jun Zhou 0017, Senthil Jayapal, Ben Busze, Li Huang, Jan Stuyt A 40 nm Dual-Width Standard Cell Library for Near/Sub-Threshold Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Tobias Gemmeke, Maryam Ashouei, Tobias G. Noll Noise Margin Based Library Optimization Considering Variability in Sub-threshold. Search on Bibsonomy PATMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Yongsuk Choi, Yong-Bin Kim, Fabrizio Lombardi Soft error masking latch for sub-threshold voltage operation. Search on Bibsonomy MWSCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Rajsaktish Sankaranarayanan, Matthew R. Guthaus A single-VDD ultra-low energy sub-threshold FPGA. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Nele Reynders, Wim Dehaene Variation-resilient sub-threshold circuit solutions for ultra-low-power Digital Signal Processors with 10MHz clock frequency. Search on Bibsonomy ESSCIRC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Tobias Gemmeke, Maryam Ashouei Variability aware cell library optimization for reliable sub-threshold operation. Search on Bibsonomy ESSCIRC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Abhijit Sil, Srikanth Bakkamanthala, Swetha Karlapudi, Magdy A. Bayoumi Highly stable, dual-port, sub-threshold 7T SRAM cell for ultra-low power application. Search on Bibsonomy NEWCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Aleksandar Pajkanovic, Tom J. Kazmierski, Branko Dokic Minimum energy point of sub-threshold operated pass-transistor circuits. Search on Bibsonomy FDL The full citation details ... 2012 DBLP  BibTeX  RDF
15Janna Mezhibovsky, Adam Teman, Alexander Fish State space modeling for sub-threshold SRAM stability analysis. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Marco Donato, Fabio Cremona, Warren Jin 0002, R. Iris Bahar, William R. Patterson, Alexander Zaslavsky, Joseph L. Mundy A noise-immune sub-threshold circuit design based on selective use of Schmitt-trigger logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Meeta Srivastav, Michael B. Henry, Leyla Nazhandali Design of low-power, scalable-throughput systems at near/sub threshold voltage. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Anis Feki, Bruno Allard, David Turgis, Jean-Christophe Lafont, Lorenzo Ciampolini Proposal of a new ultra low leakage 10T sub threshold SRAM bitcell. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Wei-Hung Du, Po-Tsang Huang, Ming-Hung Chang, Wei Hwang A 2kb built-in row-controlled dynamic voltage scaling near-/sub-threshold FIFO memory for WBANs. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Hao-Yu Yang, Chen-Wei Lin, Hung-Hsin Chen, Mango Chia-Tso Chao, Ming-Hsien Tu, Shyh-Jye Jou, Ching-Te Chuang Testing strategies for a 9T sub-threshold SRAM. Search on Bibsonomy ITC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Chandrabhan Kushwah, Santosh Kumar Vishvakarma Ultra-Low Power Sub-threshold SRAM Cell Design to Improve Read Static Noise Margin. Search on Bibsonomy VDAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry Analytical Soft Error Models Accounting for Die-to-Die and Within-Die Variations in Sub-Threshold SRAM Cells. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Kai Kinoshita, Hiroyuki Torikai A Self-Organizing Pulse-Coupled Network of Sub-Threshold Oscillating Spiking Neurons. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Hui Shao, Xing Li 0004, Chi-Ying Tsui Low energy multi-stage level converter for sub-threshold logic. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Omer Can Akgun, Joachim Neves Rodrigues, Jens Sparsø Energy-minimum sub-threshold self-timed circuits using current-sensing completion detection. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Jun Zhou, Maryam Ashouei, David Kinniment, Jos Huisken, Gordon Russell 0002, Alexandre Yakovlev Sub-threshold synchronizer. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Basab Datta, Wayne P. Burleson Temperature Effects on Practical Energy Optimization of Sub-Threshold Circuits in Deep Nanometer Technologies. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Wei Jin 0004, Sheng Lu, Weifeng He, Zhigang Mao Robust design of sub-threshold flip-flop cells for wireless sensor network. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Wei Jin 0004, Sheng Lu, Weifeng He, Zhigang Mao A 230mV 8-bit sub-threshold microprocessor for wireless sensor network. Search on Bibsonomy VLSI-SoC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Alessandro Barenghi, Cédric Hocquet, David Bol, François-Xavier Standaert, Francesco Regazzoni 0001, Israel Koren Exploring the Feasibility of Low Cost Fault Injection Attacks on Sub-threshold Devices through an Example of a 65nm AES Implementation. Search on Bibsonomy RFIDSec The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Jiaoyan Chen, Dilip P. Vasudevan, Emanuel M. Popovici, Michel P. Schellekens Design of a Low Power, Sub-Threshold, Asynchronous Arithmetic Logic Unit Using a Bidirectional Adder. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Bo Liu, Hamid Reza Pourshaghaghi, Sebastian M. Londono, José Pineda de Gyvez Process Variation Reduction for CMOS Logic Operating at Sub-threshold Supply Voltage. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Jun Zhou, Senthil Jayapal, Jan Stuyt, Jos Huisken, Harmke de Groot The impact of inverse narrow width effect on sub-threshold device sizing. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Chen-Wei Lin, Hao-Yu Yang, Chin-Yuan Huang, Hung-Hsin Chen, Mango Chia-Tso Chao Detecting stability faults in sub-threshold SRAMs. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15David Li, Pierce Chuang, David Nairn, Manoj Sachdev Design and analysis of metastable-hardened flip-flops in sub-threshold region. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
15Ming-Hung Chang, Chung-Ying Hsieh, Mei-Wei Chen, Wei Hwang Near-/sub-threshold DLL-based clock generator with PVT-aware locking range compensation. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
15Yi-Wei Chiu, Jihi-Yu Lin, Ming-Hsien Tu, Shyh-Jye Jou, Ching-Te Chuang 8T single-ended sub-threshold SRAM with cross-point data-aware write operation. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
15Y. Joly, L. Truphemus, Laurent Lopez, Jean-Michel Portal, Hassen Aziza, Franck Julien, Pascal Fornara Temperature and hump effect impact on output voltage spread of low power bandgap designed in the sub-threshold area. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Chenchang Zhan, Wing-Hung Ki An output-capacitor-free adaptively biased low-dropout regulator with sub-threshold undershoot-reduction for SoC. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Junya Kawashima, Hiroyuki Ochi, Hiroshi Tsutsui, Takashi Sato A design strategy for sub-threshold circuits considering energy-minimization and yield-maximization. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Wei-Hung Du, Ming-Hung Chang, Hao-Yi Yang, Wei Hwang An energy-efficient 10T SRAM-based FIFO memory operating in near-/sub-threshold regions. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Basab Datta, Wayne P. Burleson A 45.6μ2 13.4μw 7.1v/v resolution sub-threshold based digital process-sensing circuit in 45nm CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Basab Datta, Wayne P. Burleson A 12.4μm2 133.4μW 4.56mV/°C resolution digital on-chip thermal sensing circuit in 45nm CMOS utilizing sub-threshold operation. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Sandeep Sriram, Haiqing Nan, Ken Choi Low power latch design in near sub-threshold region to improve reliability for soft error. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Nele Reynders, Wim Dehaene A 190mV supply, 10MHz, 90nm CMOS, pipelined sub-threshold adder using variation-resilient circuit techniques. Search on Bibsonomy A-SSCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Seokjoong Kim, Matthew R. Guthaus Leakage-aware redundancy for reliable sub-threshold memories. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Jun Zhou 0017, Senthil Jayapal, Ben Busze, Li Huang, Jan Stuyt A 40 nm inverse-narrow-width-effect-aware sub-threshold standard cell library. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Antonino Vallesi, Donald T. Stuss Excessive sub-threshold motor preparation for non-target stimuli in normal aging. Search on Bibsonomy NeuroImage The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Adelmo Ortiz-Conde, Francisco J. García-Sánchez, Juin J. Liou, Ching-Sung Ho Integration-based approach to evaluate the sub-threshold slope of MOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Hiroyuki Mino, Dominique M. Durand Enhancement of information transmission of sub-threshold signals applied to distal positions of dendritic trees in hippocampal CA1 neuron models with stochastic resonance. Search on Bibsonomy Biol. Cybern. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Mehrdad H. Zadeh, David Wang 0001, Eric Kubica The effect of sub-threshold forces on human performance in multi-modal computer-aided design. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Mesut Meterelliyoz, Peilin Song, Franco Stellari, Jaydeep P. Kulkarni, Kaushik Roy 0001 Characterization of Random Process Variations Using Ultralow-Power, High-Sensitivity, Bias-Free Sub-Threshold Process Sensor. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Farzan Jazayeri, Ahmad Sammak, Behjat Forouzandeh, Farshid Raissi A novel ultra low-energy sub-threshold inverter based on nanoscale Field Effect Diode. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Niklas Lotze, Jacob Göppert, Yiannos Manoli Timing modeling for digital sub-threshold circuits. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Omer Can Akgun, Joachim Neves Rodrigues, Jens Sparsø Minimum-Energy Sub-threshold Self-Timed Circuits: Design Methodology and a Case Study. Search on Bibsonomy ASYNC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Joseph F. Ryan 0002, Benton H. Calhoun A sub-threshold FPGA with low-swing dual-VDD interconnect in 90nm CMOS. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Mehrdad Khatir, Hassan Ghasemzadeh Mohammadi, Alireza Ejlali Sub-threshold charge recovery circuits. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry Comparative analysis of power yield improvement under process variation of sub-threshold flip-flops. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun, Sudhanshu Khanna, Yanqing Zhang 0002, Joseph F. Ryan 0002, Brian P. Otis System design principles combining sub-threshold circuit and architectures with energy scavenging mechanisms. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Matthias W. Blesken, Sven Lütkemeier, Ulrich Rückert 0001 Multiobjective optimization for transistor sizing sub-threshold CMOS logic standard cells. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Milad Ataei, Mohsen Tamaddon, Abumoslem Jannesari A low-power sub-threshold CMOS continuous-time active-filter with reduced in-band noise for WiMAX applications. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Ik Joon Chang, Jae-Joon Kim, Sang Phill Park, Kaushik Roy 0001 A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Apisak Worapishet, Phanumas Khumsat Analysis and Design of Sub-Threshold R-MOSFET Tunable Resistor. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Omer Can Akgun, Frank K. Gürkaynak, Yusuf Leblebici A current sensing completion detection method for asynchronous pipelines operating in the sub-threshold regime. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15S. Ramasamy, B. Venkataramani, P. Meenatchisundaram A low power CMOS voltage reference circuit with sub threshold MOSFETs. Search on Bibsonomy Int. J. Inf. Commun. Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Wei Bian, Jin He 0003, Lining Zhang, Jian Zhang 0002, Mansun Chan Sub-threshold behavior of long channel undoped cylindrical surrounding-gate MOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Mark Tuckwell, Christos Papavassiliou An Analog Gabor Transform Using Sub-Threshold 180-nm CMOS Devices. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Benton H. Calhoun, Sudhanshu Khanna, Randy W. Mann, Jiajing Wang Sub-threshold Circuit Design with Shrinking CMOS Devices. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 401 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license