|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 731 occurrences of 466 keywords
|
|
|
Results
Found 747 publication records. Showing 746 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
9 | Zenaide Carvalho da Silva, João Angelo Martini, Ronaldo Augusto Lara Gonçalves |
Extending the PPM Branch Predictor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 14th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP 2006), 15-17 February 2006, Montbeliard-Sochaux, France, pp. 259-262, 2006, IEEE Computer Society, 0-7695-2513-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Ke Deng, Xiaofang Zhou 0001, Heng Tao Shen, Kai Xu 0003, Xuemin Lin 0001 |
Surface k-NN Query Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 22nd International Conference on Data Engineering, ICDE 2006, 3-8 April 2006, Atlanta, GA, USA, pp. 78, 2006, IEEE Computer Society, 0-7695-2570-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Aaron Smith, Ramadass Nagarajan, Karthikeyan Sankaralingam, Robert G. McDonald, Doug Burger, Stephen W. Keckler, Kathryn S. McKinley |
Dataflow Predication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 89-102, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Karthikeyan Sankaralingam, Ramadass Nagarajan, Robert G. McDonald, Rajagopalan Desikan, Saurabh Drolia, M. S. Govindan, Paul Gratz, Divya Gulati, Heather Hanson, Changkyu Kim, Haiming Liu 0001, Nitya Ranganathan, Simha Sethumadhavan, Sadia Sharif, Premkishore Shivakumar, Stephen W. Keckler, Doug Burger |
Distributed Microarchitectural Protocols in the TRIPS Prototype Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 480-491, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Yen-Jen Chang |
Lazy BTB: reduce BTB energy consumption using dynamic profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 917-922, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Sirish A. Kondi, Yoginder S. Dandass |
Scanning workstation memory for malicious codes using dedicated coprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 44st Annual Southeast Regional Conference, 2006, Melbourne, Florida, USA, March 10-12, 2006, pp. 661-666, 2006, ACM, 1-59593-315-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
FPGA, intrusion detection, coprocessor, signature matching |
9 | Danica Kragic, Mårten Björkman |
Strategies for Object Manipulation using Foveal and Peripheral Vision. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICVS ![In: 2006 IEEE International Conference on Computer Vision Systems, January 5-7, 2006, St. Johns University, Manhattan, New York City, New York, NY, USA, Proceedings, CDROM, pp. 50, 2006, IEEE Computer Society, 0-7695-2506-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Shiwen Hu, Lizy Kurian John |
Avoiding store misses to fully modified cache blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCCC ![In: Proceedings of the 25th IEEE International Performance Computing and Communications Conference, IPCCC 2006, April 10-12, 2006, Phoenix, Arizona, USA, 2006, IEEE, 1-4244-0198-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Shaikh Mostafa Al Masum, Md. Tawhidul Islam, Mitsuru Ishizuka |
ASNA: An Intelligent Agent for Retrieving and Classifying News on the Basis of Emotion-Affinity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIMCA/IAWTIC ![In: 2006 International Conference on Computational Intelligence for Modelling Control and Automation (CIMCA 2006), International Conference on Intelligent Agents, Web Technologies and Internet Commerce (IAWTIC 2006), 29 November - 1 December 2006, Sydney, Australia, pp. 133, 2006, IEEE Computer Society, 0-7695-2731-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Hokyoung Ryu, Woohun Lee |
Where you point is where the robot is. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHINZ ![In: Proceedings of the 7th ACM SIGCHI New Zealand Chapter's International Conference on Computer-Human Interaction: Design Centered HCI, 2006, Christchurch, New Zealand, July 6-7, 2006, pp. 33-42, 2006, ACM, 1-59593-473-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
home-service robots, map-based human-robot interaction, human factors, design guidelines |
9 | Ali Ibrahim, William R. Cook |
Automatic Prefetching by Traversal Profiling in Object Persistence Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP 2006 - Object-Oriented Programming, 20th European Conference, Nantes, France, July 3-7, 2006, Proceedings, pp. 50-73, 2006, Springer, 3-540-35726-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Wen-Wen Hsieh, Po-Yuan Chen, TingTing Hwang |
A bus architecture for crosstalk elimination in high performance processor design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2006, Seoul, Korea, October 22-25, 2006, pp. 247-252, 2006, ACM, 1-59593-370-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
instruction/data bus, architecture, high performance, crosstalk |
9 | Yunhe Shi, Emre Özer 0001, David Gregg |
Low-Cost Microarchitectural Techniques for Enhancing the Prediction of Return Addresses on High-Performance Trace Cache Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIS ![In: Computer and Information Sciences - ISCIS 2006, 21th International Symposium, Istanbul, Turkey, November 1-3, 2006, Proceedings, pp. 248-257, 2006, Springer, 3-540-47242-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Wook-Shin Han, Woong-Kee Loh, Kyu-Young Whang |
Type-Level Access Pattern View: A Technique for Enhancing Prefetching Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASFAA ![In: Database Systems for Advanced Applications, 11th International Conference, DASFAA 2006, Singapore, April 12-15, 2006, Proceedings, pp. 389-403, 2006, Springer, 3-540-33337-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
navigational application, prefetch method, type-level path access log, type-level access pattern view |
9 | Chi-Shiang Liao, Wen-Hung Sun, Chung-Ta King, Hung-Chang Hsiao |
OBN: Peering for Finding Suppliers in P2P On-demand Streaming Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS (1) ![In: 12th International Conference on Parallel and Distributed Systems, ICPADS 2006, Minneapolis, Minnesota, USA, July 12-15, 2006, pp. 235-242, 2006, IEEE Computer Society, 0-7695-2612-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Bernhard Egger 0002, Chihun Kim, Choonki Jang, Yoonsung Nam, Jaejin Lee, Sang Lyul Min |
A dynamic code placement technique for scratchpad memory using postpass optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 223-233, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
embedded systems, compilers, scratchpad memory, demand paging, code placement, postpass optimization, heterogeneous memory |
9 | Hagit Attiya, Rachid Guerraoui, Danny Hendler, Petr Kouznetsov |
Synchronizing without locks is inherently expensive. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twenty-Fifth Annual ACM Symposium on Principles of Distributed Computing, PODC 2006, Denver, CO, USA, July 23-26, 2006, pp. 300-307, 2006, ACM, 1-59593-384-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
lock-free implementations, perturbable objects, step contention, lower bound, memory contention, obstruction-freedom |
9 | Yehuda Afek, Eli Gafni, Adam Morrison 0001 |
Common2 extended to stacks and unbounded concurrency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twenty-Fifth Annual ACM Symposium on Principles of Distributed Computing, PODC 2006, Denver, CO, USA, July 23-26, 2006, pp. 218-227, 2006, ACM, 1-59593-384-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
common2, consensus number 2, immediate snapshot, unbounded concurrency, queue, stack, wait-free |
9 | Wu-An Kuo, Yi-Ling Chiang, TingTing Hwang, Allen C.-H. Wu |
Performance-driven crosstalk elimination at post-compiler level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Yun Tang, Lifeng Sun, Meng Zhang 0001, Jian-Guang Luo, Yuzhuo Zhong |
Experiences on peer to peer based live video steaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MMM ![In: 12th International Conference on Multi Media Modeling (MMM 2006), 4-6 January 2006, Beijing, China, 2006, IEEE, 1-4244-0028-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Sathish Rajasekhar, Bin Rong, Kwong Yuen Lai, Ibrahim Khalil, Zahir Tari |
Load Sharing in Peer-to-Peer Networks using Dynamic Replication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA (1) ![In: 20th International Conference on Advanced Information Networking and Applications (AINA 2006), 18-20 April 2006, Vienna, Austria, pp. 1011-1016, 2006, IEEE Computer Society, 0-7695-2466-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Azzedine Boukerche, Richard Werner Nelem Pazzi, Firas Kazem |
Design and Implementation of a Rate Control Mechanism for Image-based Virtual Exploration over Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: LCN 2006, The 31st Annual IEEE Conference on Local Computer Networks, Tampa, Florida, USA, 14-16 November 2006, pp. 905-912, 2006, IEEE Computer Society, 1-4244-0418-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Haifeng Liu 0007, Wee Keong Ng, Ee-Peng Lim |
Scheduling Queries to Improve the Freshness of a Website. ![Search on Bibsonomy](Pics/bibsonomy.png) |
World Wide Web ![In: World Wide Web 8(1), pp. 61-90, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Internet data management, hard real-time scheduling, query optimization, view maintenance |
9 | Windsor W. Hsu, Alan Jay Smith, Honesty C. Young |
The automatic improvement of locality in storage systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 23(4), pp. 424-473, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
block layout, defragmentation, disk technology trends, locality improvement, prefetching, data reorganization, data restructuring, Data layout optimization |
9 | Aneesh Aggarwal, Manoj Franklin |
Scalability Aspects of Instruction Distribution Algorithms for Clustered Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(10), pp. 944-955, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Clustered processor architecture, pipeline processors, interconnection architectures, load balancing and task assignment |
9 | Emre Özer 0001, Thomas M. Conte |
High-Performance and Low-Cost Dual-Thread VLIW Processor Using Weld Architecture Paradigm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(12), pp. 1132-1142, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Multithreaded processors, VLIW architectures, modeling of computer architecture |
9 | Sung-Eui Yoon, Brian Salomon, Russell Gayle, Dinesh Manocha |
Quick-VDR: Out-of-Core View-Dependent Rendering of Gigantic Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 11(4), pp. 369-382, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
levels-of-detail, occlusion culling, Interactive display, out-of-core algorithms, external-memory algorithm, view-dependent rendering |
9 | Ibrahim Jaluta, Seppo Sippu, Eljas Soisalon-Soininen |
Concurrency control and recovery for balanced B-link trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 14(2), pp. 257-277, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
B-link tree, Tree-structure modifications, Concurrency control, Transaction, Recovery |
9 | Paolo Bellavista, Antonio Corradi, Luca Foschini 0001 |
Application-Level Middleware to Proactively Manage Handoff in Wireless Internet Multimedia. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MMNS ![In: Management of Multimedia Networks and Services, 8th International Conference on Management of Multimedia Networks and Services, MMNS 2005, Barcelona, Spain, October 24-26, 2005, Proceedings, pp. 156-167, 2005, Springer, 3-540-29641-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Alexandre E. Eichenberger, Kathryn M. O'Brien, Kevin O'Brien, Peng Wu 0001, Tong Chen 0001, Peter H. Oden, Daniel A. Prener, Janice C. Shepherd, Byoungro So, Zehra Sura, Amy Wang, Tao Zhang, Peng Zhao, Michael Gschwind |
Optimizing Compiler for the CELL Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 17-21 September 2005, St. Louis, MO, USA, pp. 161-172, 2005, IEEE Computer Society, 0-7695-2429-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Thanh Tin Tang, David Hawking, Nick Craswell, Kathleen Griffiths |
Focused crawling for both topical relevance and quality of medical information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 2005 ACM CIKM International Conference on Information and Knowledge Management, Bremen, Germany, October 31 - November 5, 2005, pp. 147-154, 2005, ACM, 1-59593-140-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
domain-specific search, quality health search, focused crawling |
9 | Jung Ho Ahn, Mattan Erez, William J. Dally |
Scatter-Add in Data Parallel Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 132-142, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Aamer Jaleel, Bruce L. Jacob |
Using Virtual Load/Store Queues (VLSQs) to Reduce the Negative Effects of Reordered Memory Instructions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 191-200, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Shlomo Geva |
GPX - Gardens Point XML IR at INEX 2005. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INEX ![In: Advances in XML Information Retrieval and Evaluation, 4th International Workshop of the Initiative for the Evaluation of XML Retrieval, INEX 2005, Dagstuhl Castle, Germany, November 28-30, 2005, Revised Selected Papers, pp. 240-253, 2005, Springer, 3-540-34962-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
XML Search Engine, XML-IR, Inverted Files, XML Information Retrieval, Focused retrieval |
9 | Carolyn J. Crouch, Sudip Khanna, Poorva Potnis, Nagendra Doddapaneni |
The Dynamic Retrieval of XML Elements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INEX ![In: Advances in XML Information Retrieval and Evaluation, 4th International Workshop of the Initiative for the Evaluation of XML Retrieval, INEX 2005, Dagstuhl Castle, Germany, November 28-30, 2005, Revised Selected Papers, pp. 268-281, 2005, Springer, 3-540-34962-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Jaeyoung Yang, Jinbeom Kang, Joongmin Choi |
A Focused Crawler with Document Segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAL ![In: Intelligent Data Engineering and Automated Learning - IDEAL 2005, 6th International Conference, Brisbane, Australia, July 6-8, 2005, Proceedings, pp. 94-101, 2005, Springer, 3-540-26972-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Dinesh Garg, Kamal Jain, Kunal Talwar, Vijay V. Vazirani |
A Primal-Dual Algorithm for Computing Fisher Equilibrium in the Absence of Gross Substitutability Property. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WINE ![In: Internet and Network Economics, First International Workshop, WINE 2005, Hong Kong, China, December 15-17, 2005, Proceedings, pp. 24-33, 2005, Springer, 3-540-30900-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Meng Zhang 0001, Jian-Guang Luo, Li Zhao 0006, Shi-Qiang Yang |
A peer-to-peer network for live media streaming using a push-pull approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 13th ACM International Conference on Multimedia, Singapore, November 6-11, 2005, pp. 287-290, 2005, ACM, 1-59593-044-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
delivery ratio, push-pull, peer-to-peer, streaming |
9 | James Larkby-Lahet, Ganesh Santhanakrishnan, Ahmed Amer, Panos K. Chrysanthis |
STEP: Self-Tuning Energy-safe Predictors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile Data Management ![In: 6th International Conference on Mobile Data Management (MDM 2005), Ayia Napa, Cyprus, May 9-13, 2005, pp. 125-133, 2005, ACM, 1-59593-041-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
simulation, mobile computing, prediction, power management, prefetching, adaptive caching |
9 | Carole Delporte-Gallet, Hugues Fauconnier, Rachid Guerraoui |
(Almost) All Objects Are Universal in Message Passing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 19th International Conference, DISC 2005, Cracow, Poland, September 26-29, 2005, Proceedings, pp. 184-198, 2005, Springer, 3-540-29163-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Devarshi Chatterjee, Zahir Tari, Albert Y. Zomaya |
A Task-Based Adaptive TTL Approach for Web Server Load Balancing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the 10th IEEE Symposium on Computers and Communications (ISCC 2005), 27-30 June 2005, Murcia, Cartagena, Spain, pp. 877-884, 2005, IEEE Computer Society, 0-7695-2373-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Mehrdad Reshadi, Prabhat Mishra 0001 |
Memory access optimizations in instruction-set simulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 237-242, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
memory address-space mapping, instruction-set simulator |
9 | Chen Liu 0001, Jean-Luc Gaudiot |
Static Partitioning vs Dynamic Sharing of Resources in Simultaneous MultiThreading Microarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 6th International Workshop, APPT 2005, Hong Kong, China, October 27-28, 2005, Proceedings, pp. 81-90, 2005, Springer, 3-540-29639-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Daniel A. Jiménez |
Code placement for improving dynamic branch prediction accuracy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2005 Conference on Programming Language Design and Implementation, Chicago, IL, USA, June 12-15, 2005, pp. 107-116, 2005, ACM, 1-59593-056-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
compilers, branch prediction |
9 | Hans-Peter Kriegel, Peter Kunath, Martin Pfeifle, Matthias Renz |
Distributed Intersection Join of Complex Interval Sequences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASFAA ![In: Database Systems for Advanced Applications, 10th International Conference, DASFAA 2005, Beijing, China, April 17-20, 2005, Proceedings, pp. 748-760, 2005, Springer, 3-540-25334-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Distributed intersection join, interval sequences, probability model |
9 | Ali Ghodsi 0002, Luc Onana Alima, Seif Haridi |
Symmetric Replication for Structured Peer-to-Peer Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DBISP2P ![In: Databases, Information Systems, and Peer-to-Peer Computing, International Workshops, DBISP2P 2005/2006, Trondheim, Norway, August 28-29, 2005, Seoul, Korea, September 11, 2006, Revised Selected Papers, pp. 74-85, 2005, Springer, 978-3-540-71660-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Sheng-Hsiung Chen, Ting-Lu Huang |
A Fair and Space-ef.cient Mutual Exclusion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS (1) ![In: 11th International Conference on Parallel and Distributed Systems, ICPADS 2005, Fuduoka, Japan, July 20-22, 2005, pp. 467-473, 2005, IEEE Computer Society, 0-7695-2281-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Jiunn-Jye Lee, Chin-Laung Lei |
An On-Line Page-Structure Approximation Scheme for Web Proxies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS (2) ![In: 11th International Conference on Parallel and Distributed Systems, ICPADS 2005, Fuduoka, Japan, July 20-22, 2005, pp. 669-673, 2005, IEEE Computer Society, 0-7695-2281-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Lingyun Yang, Jennifer M. Schopf, Ian T. Foster |
Improving parallel data transfer times using predicted variances in shared networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 5th International Symposium on Cluster Computing and the Grid (CCGrid 2005), 9-12 May, 2005, Cardiff, UK, pp. 734-742, 2005, IEEE Computer Society, 0-7803-9074-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Tatiana Gadelha Serra dos Santos, Sergio Bampi, Philippe Olivier Alexandre Navaux |
Reusing Traces in a Dynamic Conditional Execution Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 17th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2005), 24-27 October 2005, Rio de Janeiro, Brazil, pp. 126-133, 2005, IEEE Computer Society, 0-7695-2446-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Weifeng Zhang 0001, Baowen Xu, Guoqiang Zhou |
User's Rough Set Based Fuzzy Interest Model in Mining WWW Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA Workshops ![In: Parallel and Distributed Processing and Applications - ISPA 2005 Workshops, ISPA 2005 International Workshops AEPP, ASTD, BIOS, GCIC, IADS, MASN, SGCA, and WISA, Nanjing, China, November 2-5, 2005, Proceedings, pp. 657-665, 2005, Springer, 3-540-29770-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Internet, WWW, Rough Set, Fuzzy Set, Interest Model |
9 | Junichi Funasaka, Atsushi Kawano, Kenji Ishida |
Implementation Issues of Parallel Downloading Methods for a Proxy System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 25th International Conference on Distributed Computing Systems Workshops (ICDCS 2005 Workshops), 6-10 June 2005, Columbus, OH, USA, pp. 58-64, 2005, IEEE Computer Society, 0-7695-2328-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Martin Karlsson, Erik Hagersten, Kevin E. Moore, David A. Wood 0001 |
Exploring Processor Design Options for Java-Based Middleware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 34th International Conference on Parallel Processing (ICPP 2005), 14-17 June 2005, Oslo, Norway, pp. 59-68, 2005, IEEE Computer Society, 0-7695-2380-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Java, Middleware, CMP, workloads, ILP, Characterization |
9 | Esther Salamí, Mateo Valero |
A Vector-µSIMD-VLIW Architecture for Multimedia Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 34th International Conference on Parallel Processing (ICPP 2005), 14-17 June 2005, Oslo, Norway, pp. 69-77, 2005, IEEE Computer Society, 0-7695-2380-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Junichi Funasaka |
An Analysis on Adaptive Parallel Downloading Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 19th International Conference on Advanced Information Networking and Applications (AINA 2005), 28-30 March 2005, Taipei, Taiwan, pp. 835-840, 2005, IEEE Computer Society, 0-7695-2249-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Bengu Li, Ganesh Venkatesh, Brad Calder, Rajiv Gupta 0001 |
Exploiting a Computation Reuse Cache to Reduce Energy in Network Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, First International Conference, HiPEAC 2005, Barcelona, Spain, November 17-18, 2005, Proceedings, pp. 251-265, 2005, Springer, 3-540-30317-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Prasad Jayanti, Srdjan Petrovic |
Logarithmic-Time Single Deleter, Multiple Inserter Wait-Free Queues and Stacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSTTCS ![In: FSTTCS 2005: Foundations of Software Technology and Theoretical Computer Science, 25th International Conference, Hyderabad, India, December 15-18, 2005, Proceedings, pp. 408-419, 2005, Springer, 3-540-30495-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Jong-Wan Kim, Sin-Jae Kang |
Feature Selection by Fuzzy Inference and Its Application to Spam-Mail Filtering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIS (1) ![In: Computational Intelligence and Security, International Conference, CIS 2005, Xi'an, China, December 15-19, 2005, Proceedings, Part I, pp. 361-366, 2005, Springer, 3-540-30818-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Sayantan Sur, Abhinav Vishnu, Hyun-Wook Jin, Wei Huang 0003, Dhabaleswar K. Panda 0001 |
Can Memory-Less Network Adapters Benefit Next-Generation InfiniBand Systems?. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Interconnects ![In: 13th Annual IEEE Symposium on High Performance Interconnects (HOTIC 2005), 17-19 August 2005, Stanford, CA, USA, pp. 45-50, 2005, IEEE Computer Society, 0-7695-2449-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Zhou Su, Jiro Katto, Yasuhiko Yasuda |
An integrated Retrieval and Pre-fetching algorithms for Segmented Streaming in Mobile Peer-to-Peer Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2005 IEEE International Conference on Cluster Computing (CLUSTER 2005), September 26 - 30, 2005, Boston, Massachusetts, USA, pp. 1, 2005, IEEE Computer Society, 0-7803-9485-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Aameek Singh, Abhishek Trivedi, Krithi Ramamritham, Prashant J. Shenoy |
PTC: Proxies that Transcode and Cache in Heterogeneous Web Client Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
World Wide Web ![In: World Wide Web 7(1), pp. 7-28, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
trancoding, client devices, caching, Web, proxies |
9 | Brian A. Fields, Rastislav Bodík, Mark D. Hill, Chris J. Newburn |
Interaction cost and shotgun profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(3), pp. 272-304, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
modeling, Performance analysis, profiling, critical path |
9 | Keqiu Li, Hong Shen 0001, Keishi Tajima |
Cache Design for Transcoding Proxy Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: Network and Parallel Computing, IFIP International Conference, NPC 2004, Wuhan, China, October 18-20, 2004, Proceedings, pp. 187-194, 2004, Springer, 3-540-23388-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Esther Salamí, Mateo Valero |
Initial Evaluation of Multimedia Extensions on VLIW Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Computer Systems: Architectures, Modeling, and Simulation, Third and Fourth International Workshops, SAMOS 2003 and SAMOS 2004, Samos, Greece, July 21-23, 2003 and July 19-21, 2004, Proceedings, pp. 403-412, 2004, Springer, 3-540-22377-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Savvas Gitzenis, Nicholas Bambos |
Efficient Data Prefetching for Power-Controlled Wireless Packet Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiQuitous ![In: 1st Annual International Conference on Mobile and Ubiquitous Systems (MobiQuitous 2004), Networking and Services, 22-25 August 2004, Cambridge, MA, USA, pp. 64-73, 2004, IEEE Computer Society, 0-7695-2208-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Weihang Jiang, Jiuxing Liu, Hyun-Wook Jin, Dhabaleswar K. Panda 0001, Darius Buntinas, Rajeev Thakur, William D. Gropp |
Efficient Implementation of MPI-2 Passive One-Sided Communication on InfiniBand Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 11th European PVM/MPI Users' Group Meeting, Budapest, Hungary, September 19-22, 2004, Proceedings, pp. 68-76, 2004, Springer, 3-540-23163-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Roland E. Wunderlich, James C. Hoe |
In-system FPGA prototyping of an itanium microarchitecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, FPGA 2004, Monterey, California, USA, February 22-24, 2004, pp. 255, 2004, ACM, 1-58113-829-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Muhamed F. Mudawar |
Scalable cache memory design for large-scale SMT architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMPI ![In: Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, June 20, 2004, pp. 65-71, 2004, ACM, 1-59593-040-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
scalable multiported cache memory, simultaneous multithreaded architectures |
9 | Sharma Chakravarthy, Anoop Sanka, Jyoti Jacob, Naveen Pandrangi |
A learning-based approach for fetching pages in WebVigiL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), Nicosia, Cyprus, March 14-17, 2004, pp. 1725-1731, 2004, ACM, 1-58113-812-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
best-effort algorithm, change monitoring, intelligent fetching, web, ECA rules |
9 | Francisco J. Cazorla, Peter M. W. Knijnenburg, Rizos Sakellariou, Enrique Fernández, Alex Ramírez, Mateo Valero |
Implicit vs. Explicit Resource Allocation in SMT Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August - 3 September 2004, Rennes, France, pp. 44-51, 2004, IEEE Computer Society, 0-7695-2203-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Amit Gandhi, Haitham Akkary, Srikanth T. Srinivasan |
Reducing Branch Misprediction Penalty via Selective Branch Recovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 14-18 February 2004, Madrid, Spain, pp. 254-264, 2004, IEEE Computer Society, 0-7695-2053-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Tor M. Aamodt, Paul Chow, Per Hammarlund, Hong Wang 0003, John Paul Shen |
Hardware Support for Prescient Instruction Prefetch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 14-18 February 2004, Madrid, Spain, pp. 84-95, 2004, IEEE Computer Society, 0-7695-2053-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Ravi V. Batchu, Daniel A. Jiménez |
Exploiting Procedure Level Locality to Reduce Instruction Cache Misses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interaction between Compilers and Computer Architectures ![In: 8th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-8 2004), 15 February 2004, Madrid, Spain, pp. 75-84, 2004, IEEE Computer Society, 0-7695-2061-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Shlomi Dolev, Yinnon A. Haviv |
Self-Stabilizing Microprocessor - Analyzing and Overcoming Soft-Errors (Extended Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Organic and Pervasive Computing - ARCS 2004, International Conference on Architecture of Computing Systems, Augsburg, Germany, March 23-26, 2004, Proceedings, pp. 31-46, 2004, Springer, 3-540-21238-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Miroslav N. Velev |
Using positive equality to prove liveness for pipelined microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 316-321, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Sai Sun, Sham Prasher, Xiaofang Zhou 0001 |
A Scaleless Data Model for Direct and Progressive Spatial Query Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER (Workshops) ![In: Conceptual Modeling for Advanced Application Domains, ER 2004 Workshops CoMoGIS, COMWIM, ECDM, CoMoA, DGOV, and ECOMO, Shanghai, China, November 8-12, 2004, Proceedings, pp. 148-159, 2004, Springer, 3-540-23722-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Yaoqiang Xu, Chunxiao Xing, Lizhu Zhou |
A Cache Replacement Algorithm in Hierarchical Storage of Continuous Media Object. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WAIM ![In: Advances in Web-Age Information Management: 5th International Conference, WAIM 2004, Dalian, China, July 15-17, 2004, pp. 157-166, 2004, Springer, 3-540-22418-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Chi-Hung Chi, Xiang Li, Hongguang Wang |
Accelerating Web Page Retrieval Through Object Usage Declaration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 37th Annual Simulation Symposium (ANSS-37 2004), 18-22 April 2004, Arlington, VA, USA, pp. 104-111, 2004, IEEE Computer Society, 0-7695-2110-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Matei David |
A Single-Enqueuer Wait-Free Queue Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 18th International Conference, DISC 2004, Amsterdam, The Netherlands, October 4-7, 2004, Proceedings, pp. 132-143, 2004, Springer, 3-540-23306-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Jamison D. Collins, Dean M. Tullsen |
Clustered Multithreaded Architectures - Pursuing both IPC and Cycle Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Won Woo Ro, Jean-Luc Gaudiot |
SPEAR: A Hybrid Model for Speculative Pre-Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Kayvon Fatahalian, Jeremy Sugerman, Pat Hanrahan |
Understanding the efficiency of GPU algorithms for matrix-matrix multiplication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Graphics Hardware ![In: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Symposium on Graphics Hardware 2004, Grenoble, France, August 29-30, 2004, pp. 133-137, 2004, Eurographics Association, 3-905673-15-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Taek-Hee Lee, Young J. Kim, Juno Chang |
High Quality Volume Rendering for Large Medical Datasets Using GPUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AsiaSim ![In: Systems Modeling and Simulation: Theory and Applications, Third Asian Simulation Conference, AsiaSim 2004, Jeju Island, Korea, October 4-6, 2004, Revised Selected Papers, pp. 663-674, 2004, Springer, 3-540-24477-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Linas Bukauskas, Michael H. Böhlen |
Incremental Observer Relative Data Extraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BNCOD ![In: Key Technologies for Data Management, 21st British National Conference on Databases, BNCOD 21, Edinburgh, UK, July 7-9, 2004, Proceedings, pp. 165-177, 2004, Springer, 3-540-22382-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Sung-Eui Yoon, Brian Salomon, Russell Gayle, Dinesh Manocha |
Quick-VDR: Interactive View-Dependent Rendering of Massive Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Visualization ![In: 15th IEEE Visualization Conference, IEEE Vis 2004, Austin, TX, USA, October 10-15, 2004, Proceedings, pp. 131-138, 2004, IEEE Computer Society, 0-7803-8788-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
levels-of-detail, occlusion culling, Interactive display, external-memory algorithm, view-dependent rendering |
9 | Chia-Lin Yang, Chien-Hao Lee |
HotSpot cache: joint temporal and spatial locality exploitation for i-cache energy reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 114-119, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
embedded systems, low power design, instruction cache |
9 | Roland E. Wunderlich, James C. Hoe |
In-System FPGA Prototyping of an Itanium Microarchitecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 288-294, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Miroslav N. Velev |
Efficient formal verification of pipelined processors with instruction queues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 92-95, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
logic of equality, positive equality, decomposition, SAT |
9 | Junichi Funasaka, Kazuhiro Nagayasu, Kenji Ishida |
Improvements on Block Size Control Method for Adaptive Parallel Downloading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 24th International Conference on Distributed Computing Systems Workshops (ICDCS 2004 Workshops), 23-24 March 2004, Hachioji, Tokyo, Japan, pp. 648-653, 2004, IEEE Computer Society, 0-7695-2087-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Amit Thawani, Srividya Gopalan, V. Sridhar |
Web-Based Context Aware Information Retrieval in Contact Centers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Web Intelligence ![In: 2004 IEEE/WIC/ACM International Conference on Web Intelligence (WI 2004), 20-24 September 2004, Beijing, China, pp. 473-476, 2004, IEEE Computer Society, 0-7695-2100-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Benjamin Habegger, Mohamed Quafafou |
WetDL: A Web Information Extraction Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ADVIS ![In: Advances in Information Systems, Third International Conference, ADVIS 2004, Izmir, Turkey, October 20-22, 2004, Proceedings, pp. 128-138, 2004, Springer, 3-540-23478-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Ronny Krashinsky, Christopher Batten, Mark Hampton, Steve Gerding, Brian Pharris, Jared Casper, Krste Asanovic |
The Vector-Thread Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 31st International Symposium on Computer Architecture (ISCA 2004), 19-23 June 2004, Munich, Germany, pp. 52-63, 2004, IEEE Computer Society, 0-7695-2143-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Michael D. Powell, T. N. Vijaykumar |
Exploiting Resonant Behavior to Reduce Inductive Noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 31st International Symposium on Computer Architecture (ISCA 2004), 19-23 June 2004, Munich, Germany, pp. 288-301, 2004, IEEE Computer Society, 0-7695-2143-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Gang Shi, Mingchang Hu, Hongda Yin, Weiwu Hu, Zhimin Tang |
A shared virtual memory network with fast remote direct memory access and message passing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2004 IEEE International Conference on Cluster Computing (CLUSTER 2004), September 20-23 2004, San Diego, California, USA, pp. 495, 2004, IEEE Computer Society, 0-7803-8694-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar |
Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004, pp. 260-270, 2004, ACM, 1-58113-804-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
CMP, migration, SMT, heat, power density |
9 | Baruch Solomon, Avi Mendelson, Ronny Ronen, Doron Orenstein, Yoav Almog |
Micro-operation cache: a power aware frontend for variable instruction length ISA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 11(5), pp. 801-811, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy |
An evaluation of speculative instruction execution on simultaneous multithreaded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 21(3), pp. 314-340, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading |
9 | Mary Y. L. Wisniewski, Emmanuel Yashchin, Robert L. Franch, David P. Conrady, Daniel N. Maynard, Giovanni Fiorenza, I. Cevdet Noyan |
The physical design of on-chip interconnections. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(3), pp. 254-276, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Peter Triantafillou, Ioannis Aekaterinidis |
Web Proxy Cache Replacement: Do's, Don'ts, and Expectations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCA ![In: 2nd IEEE International Symposium on Network Computing and Applications (NCA 2003), 16-18 April 2003, Cambridge, MA, USA, pp. 59-66, 2003, IEEE Computer Society, 0-7695-1938-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Steven E. Raasch, Steven K. Reinhardt |
The Impact of Resource Partitioning on SMT Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003), 27 September - 1 October 2003, New Orleans, LA, USA, pp. 15-26, 2003, IEEE Computer Society, 0-7695-2021-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 746 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ >>] |
|