The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for BIST with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1988 (24) 1989-1990 (20) 1991 (15) 1992 (24) 1993 (25) 1994 (35) 1995 (56) 1996 (51) 1997 (71) 1998 (81) 1999 (84) 2000 (122) 2001 (115) 2002 (121) 2003 (126) 2004 (134) 2005 (114) 2006 (89) 2007 (69) 2008 (72) 2009 (47) 2010 (43) 2011 (34) 2012 (27) 2013 (50) 2014 (34) 2015 (33) 2016-2017 (34) 2018 (22) 2019-2020 (27) 2021-2022 (25) 2023 (17) 2024 (2)
Publication types (Num. hits)
article(532) incollection(2) inproceedings(1304) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1729 occurrences of 545 keywords

Results
Found 1860 publication records. Showing 1843 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Vashist Bist, Bhim Singh 0001 An Adjustable-Speed PFC Bridgeless Buck-Boost Converter-Fed BLDC Motor Drive. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
31Vashist Bist, Bhim Singh 0001 A PFC-Based BLDC Motor Drive Using a Canonical Switching Cell Converter. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
31Vashist Bist, Bhim Singh 0001 A Brushless DC Motor Drive With Power Factor Correction Using Isolated Zeta Converter. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
31Ankur Singh Bist Detection of metamorphic viruses: A survey. Search on Bibsonomy ICACCI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
31Gary Bist Business process management (BPM) in a day. Search on Bibsonomy CASCON The full citation details ... 2014 DBLP  BibTeX  RDF
31Bhim Singh 0001, Vashist Bist A PFC based BLDC motor drive using a Bridgeless Zeta converter. Search on Bibsonomy IECON The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
31Peter Ash, Anju Bist, Dan Sullivan, Smitha Chandran, Nikhil K. Kothurkar Rehabilitating former landfill sites: A case study in habitat restoration. Search on Bibsonomy GHTC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
31Shikha Singh 0008, Bhim Singh 0001, G. Bhuvaneswari, Vashist Bist, Ambrish Chandra, Kamal Al-Haddad Power quality improved bridgeless converter based multiple output SMPS. Search on Bibsonomy IAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
31Bhim Singh 0001, Vashist Bist, Ambrish Chandra, Kamal Al-Haddad Power quality improvement in PFC Bridgeless-Luo converter fed BLDC motor drive. Search on Bibsonomy IAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
31Rashmi Sachan, Shahid Ali, Chandan Bist, Sunil Misra, Vinod Menezes, Sharad Gupta, Pat Bosshart A 40nm 650Mhz 0.5fJ/Bit/Search TCAM Compiler Using Complementary Bit-cell Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
31Gary Bist, Kenneth K. Cheung Business process management (BPM) in a day. Search on Bibsonomy CASCON The full citation details ... 2013 DBLP  BibTeX  RDF
31Gary Bist Business process management (BPM) in a day. Search on Bibsonomy CASCON The full citation details ... 2012 DBLP  BibTeX  RDF
31Gary Bist Business process management (BPM) in a day. Search on Bibsonomy CASCON The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
31Gary Bist Service Oriented Architecture (SOA) in a day. Search on Bibsonomy CASCON The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Neil J. Bershad, Anurag Bist Fast coupled adaptation for sparse impulse responses using a partial haar transform. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Neil J. Bershad, Anurag Bist Fast coupled adaptation for sparse channels using a partial Haar transform. Search on Bibsonomy ICASSP (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Gary Bist, Neil MacKinnon, Steve Murphy Sequence diagram presentation in technical documentation. Search on Bibsonomy SIGDOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF visualization, unified modeling language, human factors, documentation, guidelines, user testing, graphic design, sequence diagrams, UML diagrams
31Anurag Bist, Parthasarathy Sriram Adaptive Quantization for Low Bit Rate Video Coding. Search on Bibsonomy ICIP (3) The full citation details ... 1998 DBLP  BibTeX  RDF
31Anurag Bist, Parthasarathy Sriram Constrained trellis based rate control scheme for low bit rate video coding. Search on Bibsonomy ICIP (2) The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Anurag Bist An approximate analysis of sigma-delta modulation of a Gauss-Markov process. Search on Bibsonomy ICASSP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
31Kenneth Zeger, Anurag Bist, Tamás Linder Universal source coding with codebook transmission. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
31A. Bist Differential State Quantization of High Order Gauss Markov Process. Search on Bibsonomy Data Compression Conference The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
31Anurag Bist, Arnaud E. Jacquin, Christine Podilchuk Encoding of the chrominance signals in 3D subband-based video coding. Search on Bibsonomy ICASSP (5) The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
31Kenneth Zeger, Anurag Bist Universal adaptive vector quantization using codebook quantization with application to image compression. Search on Bibsonomy ICASSP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
31Diogo José Costa Alves, Edna Barros A logic built-in self-test architecture that reuses manufacturing compressed scan test patterns. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LBIST, compressed test patterns, test, SoC, self-test
31Mohammad Tehranipoor, Reza M. Rad Built-In Self-Test and Recovery Procedures for Molecular Electronics-Based Nanofabrics. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Kim Petersén, Johnny Öberg Toward a scalable test methodology for 2D-mesh Network-on-Chips. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Amit Laknaur, Sai Raghuram Durbha, Haibo Wang 0005 Built-In-Self-Testing Techniques for Programmable Capacitor Arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF programmable capacitor array, built-in-self-testing, analog testing, field programmable analog array
31Mohammad Tehranipoor, Reza M. Rad Test and recovery for fine-grained nanoscale architectures. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Murari Kejariwal, Prasad Ammisetti, John Melanson Built-in self-test mode in a multi-path feedforward compensated operational amplifier. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Ioannis Voyiatzis, Antonis M. Paschalis, Dimitris Gizopoulos, Nektarios Kranitis, Constantin Halatsis A concurrent built-in self-test architecture based on a self-testing RAM. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Dayu Yang, Foster F. Dai, Charles E. Stroud Built-in self-test for automatic analog frequency response measurement. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Shyue-Kung Lu, Chien-Hung Yeh, Han-Wen Lin Efficient Built-in Self-Test Techniques for Memory-Based FFT Processors. Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Mike W. T. Wong, K. Y. Ko, Yim-Shu Lee Analog and Mixed-Signal IP Cores Testing. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mixed-signal design, analog circuit testing, SOCs
31Kuo-Liang Cheng, Chia-Ming Hsueh, Jing-Reng Huang, Jen-Chieh Yeh, Chih-Tsun Huang, Cheng-Wen Wu Automatic Generation of Memory Built-in Self-Test Cores for System-on-Chip. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Sandeep Koranne, Tom Waayers, Robert Beurze, Clemens Wouters, Sunil Kumar, G. S. Visweswara A P1500 Compliant Programable BistShell for Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Kun-Han Tsai, Janusz Rajski, Malgorzata Marek-Sadowska Star test: the theory and its applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero Exploiting the Selfish Gene Algorithm for Evolving Cellular Automata. Search on Bibsonomy IJCNN (6) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Kamran Zarrineh, Shambhu J. Upadhyaya A New Framework For Automatic Generation, Insertion and Verification of Memory Built-In Self Test Units. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Karim Arabi, Bozena Kaminska, Mohamad Sawan On chip testing data converters using static parameters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Effective Built-In Self-Test for Booth Multipliers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Booth multipliers, Built-In Self Test, design for testability, data paths
31Karim Arabi, Bozena Kaminska, Janusz Rzeszut A new built-in self-test approach for digital-to-analog and analog-to-digital converters. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Li-Ming Denq, Yu-Tsao Hsing, Cheng-Wen Wu Hybrid BIST Scheme for Multiple Heterogeneous Embedded Memories. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Lei Chen 0010, Zhiquan Zhang, Zhiping Wen 0001 A novel BIST approach for testing input/output buffers in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF i/o buffers, built-in self-test, fpga testing
29Cheng Jia, Linda S. Milor A BIST Circuit for DLL Fault Detection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Mehrdad Nourani, Mohammad Tehranipoor, Nisar Ahmed Low-Transition Test Pattern Generation for BIST-Based Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low power pattern generation, Test generation, Built-in tests, Testing strategies, Random generation
29Dong Xiang, Yang Zhao 0001, Krishnendu Chakrabarty, Hideo Fujiwara A Reconfigurable Scan Architecture With Weighted Scan-Enable Signals for Deterministic BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Sunghoon Chun, Taejin Kim, Sungho Kang A new low energy BIST using a statistical code. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Kevin Sliech, Martin Margala A Digital BIST for Phase-Locked Loops. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Stephen K. Sunter, Aubin Roy Purely Digital BIST for Any PLL or DLL. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Yen-Chih Huang, Hsieh-Hung Hsieh, Liang-Hung Lu A Low-Noise Amplifier with Integrated Current and Power Sensors for RF BIST Applications. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Subir K. Roy, Rubin A. Parekhji Modeling Techniques for Formal Verification of BIST Controllers and Their Integration into SOC Designs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Kedarnath J. Balakrishnan Efficient Scan-Based BIST Using Multiple LFSRs and Dictionary Coding. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Jack R. Smith, Tian Xia, Charles E. Stroud An Automated BIST Architecture for Testing and Diagnosing FPGA Interconnect Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF stuck-at faults, bridging faults, delay faults
29Achraf Dhayni, Salvador Mir, Libor Rufer, Ahcène Bounceur Pseudorandom functional BIST for linear and nonlinear MEMS. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Stelios Neophytou, Maria K. Michael, Spyros Tragoudas Efficient Deterministic Test Generation for BIST Schemes with LFSR Reseeding. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Hsieh-Hung Hsieh, Liang-Hung Lu Integrated CMOS Power Sensors for RF BIST Applications. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Lei Li 0036, Krishnendu Chakrabarty Hybrid BIST Based on Repeating Sequences and Cluster Analysis. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Mária Fischerová, Martin Simlastík MemBIST Applet for Learning Principles of Memory Testing and Generating Memory BIST. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Peter Filter, Hana Kubátová Improvement of the Fault Coverage of the Pseudo-Random Phase in Column-Matching BIST. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Chaowen Yu, Sudhakar M. Reddy, Irith Pomeranz Circuit Independent Weighted Pseudo-Random BIST Pattern Generator. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Youbean Kim, Myung-Hoon Yang, Yong Lee 0002, Sungho Kang 0001 A New Low Power Test Pattern Generator using a Transition Monitoring Window based on BIST Architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Mohammad Tehranipoor, Mehrdad Nourani, Nisar Ahmed Low Transition LFSR for BIST-Based Applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29DongSup Song, Sungho Kang Increasing Embedding Probabilities of RPRPs in RIN Based BIST. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Vitalij Ocheretnij, G. Kouznetsov, Ramesh Karri, Michael Gössel On-Line Error Detection and BIST for the AES Encryption Algorithm with Different S-Box Implementations. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Anand Gopalan, Tejasvi Das, Clyde Washburn, P. R. Mukund An Ultra-Fast, On-Chip BiST for RF Low Noise Amplifiers. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Ondrej Novák, Zdenek Plíva, Jiri Nosek, Andrzej Hlawiczka, Tomasz Garbolino, Krzysztof Gucwa Test-Per-Clock Logic BIST with Semi-Deterministic Test Patterns and Zero-Aliasing Compactor. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test-per-clock testing, test pattern compression, zero aliasing error, built-in self test, test response compaction
29Jiang Chau Wang, Paulo Sérgio Cardoso, Jose Artur Quilici González, Marius Strum, Ricardo Pires Datapath BIST Insertion Using Pre-Characterized Area and Testability Data. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test library, RTL architecture, pre-computed testability, self-test
29Zhiyuan He 0002, Gert Jervan, Zebo Peng, Petru Eles Hybrid BIST Test Scheduling Based on Defect Probabilities. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Dong Xiang, Ming-Jing Chen, Kaiwei Li, Yu-Liang Wu Scan-Based BIST Using an Improved Scan Forest Architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Abilio Parreira, João Paulo Teixeira 0001, Marcelino B. Santos FPGAs BIST Evaluation. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell BIST of Delay Faults in the Logic Architecture of Symmetrical FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Petr Fiser, Hana Kubátová Survey of the Algorithms in the Column-Matching BIST Method. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Liyang Lai, Thomas Rinderknecht, Wu-Tung Cheng, Janak H. Patel Logic BIST Using Constrained Scan Cells. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Robert C. Aitken A Modular Wrapper Enabling High Speed BIST and Repair for Small Wide Memories. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Chunsheng Liu, Krishnendu Chakrabarty Failing vector identification based on overlapping intervals of test vectors in a scan-BIST environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Miron Abramovici, Charles E. Stroud BIST-Based Delay-Fault Testing in FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Field Programmable Gate Arrays, Built-In Self-Test, delay faults
29Kumar L. Parthasarathy, Turker Kuyel, Dana Price, Le Jin, Degang Chen 0001, Randall L. Geiger BIST and production testing of ADCs using imprecise stimulus. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ADC linearity, imprecision measurement, imprecision stimulus, built-in self-test, Analog and mixed-signal testing, production test
29Dimitris G. Nikolos, Dimitris Nikolos, Haridimos T. Vergos, Costas Efstathiou Efficient BIST schemes for RNS datapaths. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell Defect Analysis for Delay-Fault BIST in FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Debjyoti Ghosh, Swarup Bhunia, Kaushik Roy 0001 Multiple Scan Chain Design Technique for Power Reduction during Test Application in BIST. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29C. V. Krishna, Nur A. Touba Hybrid BIST Using an Incrementally Guided LFSR. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Chunsheng Liu, Krishnendu Chakrabarty Compact Dictionaries for Fault Diagnosis in BIST. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Theo J. Powell, Wu-Tung Cheng, Joseph Rayhawk, Omer Samman, Paul Policke, Sherry Lai BIST for Deep Submicron ASIC Memories with High Performance Application. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Olivier Caty, Ismet Bayraktaroglu, Amitava Majumdar 0002, Richard Lee, John Bell, Lisa Curhan Instruction Based BIST for Board/System Level Test of External Memories and Internconnects. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Samir Roy, Ujjwal Maulik, Biplab K. Sikdar Exploiting Ghost-FSMs as a BIST Structure for Sequential Machines. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Sukanta Das, Niloy Ganguly, Biplab K. Sikdar, Parimal Pal Chaudhuri Design Of A Universal BIST (UBIST) Structure. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Hans-Joachim Wunderlich High Defect Coverage with Low-Power Test Sequences in a BIST Environment. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Chunsheng Liu, Krishnendu Chakrabarty, Michael Gössel An Interval-Based Diagnosis Scheme for Identifying Failing Vectors in a Scan-BIST Environment. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Lihong Tong, Kazuki Suzuki, Hideo Ito Optimal Seed Generation for Delay Fault Detection BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Alvin Jee Defect-Oriented Analysis of Memory BIST Tests. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Raja Venkatesh, Sailesh Kumar, Joji Philip, Sunil Shukla A Fault Modeling Technique to Test Memory BIST Algorithms. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Andreas Steininger, Johann Vilanek Using Offline and Online BIST to Improve System Dependability - The TTPC-C Example. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Alvin Jee Defect-Oriented Analysis of Memory BIST Tests. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Miron Abramovici, Charles E. Stroud BIST-Based Delay-Fault Testing in FPGAs. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Charles E. Stroud, Jeremy Nall, Matthew Lashinsky, Miron Abramovici BIST-Based Diagnosis of FPGA Interconnect. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz Pseudo Random Patterns Using Markov Sources for Scan BIST. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Karim Arabi Mixed-Signal BIST: Fact or Fiction. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Laurence Tianruo Yang, Jon C. Muzio Redundant transformations for BIST testability metrics-based data path allocation. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Mihalis Psarakis, Yervant Zorian An Effective Deterministic BIST Scheme for Shifter/Accumulator Pairs in Datapaths. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF datapath test, shifter, Built-in self-test, accumulator, arithmetic-logic unit, processor test
Displaying result #301 - #400 of 1843 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license