|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2930 occurrences of 1614 keywords
|
|
|
Results
Found 7434 publication records. Showing 7434 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
24 | Artemis A. Christopoulou, Eleftherios D. Polychronopoulos |
Reducing Communication Overhead and Page Faults in SDSM Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings, pp. 405-414, 2006, Springer, 3-540-37783-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Software DSM Systems, Clusters, Grids, Cache Coherence Protocols, Memory Consistency Models |
24 | Yuanchen Zhu |
Uniform Remeshing with an Adaptive Domain: A New Scheme for View-Dependent Level-of-Detail Rendering of Meshes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 11(3), pp. 306-316, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
view-dependent meshes, Level-of-detail, remeshing, multiresolution representation, frame-to-frame coherence |
24 | Tommaso Flaminio, Franco Montagna |
A logical and algebraic treatment of conditional probability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Arch. Math. Log. ![In: Arch. Math. Log. 44(2), pp. 245-262, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Coherence, Many-valued logic, Conditional probability |
24 | Xiangli Qu, Xuejun Yang, Yuhua Tang, Haifang Zhou |
A Behavior Characteristics-Based Reputation Evaluation Method for Grid Entities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EGC ![In: Advances in Grid Computing - EGC 2005, European Grid Conference, Amsterdam, The Netherlands, February 14-16, 2005, Revised Selected Papers, pp. 567-577, 2005, Springer, 3-540-26918-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
behavior coherence factor, behavior inertia degree, Grid, approximation, reputation |
24 | Chunlei Liu 0010 |
Leading Causes of TCP Performance Degradation over Wireless Links. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICESS ![In: Embedded Software and Systems, Second International Conference, ICESS 2005, Xi'an, China, December 16-18, 2005, Proceedings, pp. 494-505, 2005, Springer, 3-540-30881-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
congestion coherence, wireless networks, performance analysis, TCP, explicit congestion notification |
24 | Erwin de Groot, Brian Wyvill |
Rayskip: faster ray tracing of implicit surface animations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GRAPHITE ![In: Proceedings of the 3rd International Conference on Computer Graphics and Interactive Techniques in Australasia and Southeast Asia 2005, Dunedin, New Zealand, November 29 - December 2, 2005, pp. 31-36, 2005, ACM, 1-59593-201-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
animation, implicit surfaces, temporal coherence, raytracing |
24 | Jean-Francois Collard, Norman P. Jouppi, Sami Yehia |
System-wide performance monitors and their application to the optimization of coherent memory accesses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2005, June 15-17, 2005, Chicago, IL, USA, pp. 247-254, 2005, ACM, 1-59593-080-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
coherence traffic, performance monitors |
24 | Ignacio Martín 0002, Xavier Pueyo, Dani Tost |
Frame-to-Frame Coherent Animation with Two-Pass Radiosity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 9(1), pp. 70-84, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
animation, Global illumination, radiosity, temporal coherence |
24 | Kevin M. Lepak, Gordon B. Bell, Mikko H. Lipasti |
Silent Stores and Store Value Locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(11), pp. 1174-1190, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
store optimization, cache coherence, value prediction, false sharing, Value locality |
24 | Josep Torrellas, Liuxi Yang, Anthony-Trung Nguyen |
Toward a Cost-Effective DSM Organization That Exploits Processor-Memory Integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 15-25, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
directory controller, multiprocessor, reconfigurable, PIM, DSM, coherence protocol, NUMA, processor-in-memory, COMA |
24 | Angelo Gilio |
Probabilistic relations among logically dependent conditional events. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 3(3), pp. 154-161, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Conditional events, coherent assessments, total coherence, logical dependence, stochastical independence |
24 | Kuang-Chih Liu, Chung-Ta King |
A Performance Study on Bounteous Transfer in Multiprocessor Sectored Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 11(4), pp. 405-420, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
sectored cache, partial block invalidation, multiprocessor, Cache coherence, data prefetching, false sharing |
24 | Toshiyuki Yoshida, Hidetoshi Katoh, Yoshinori Sakai |
Block Matching Motion Estimation Using Block Integration Based on Reliability Metric. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (2) ![In: Proceedings 1997 International Conference on Image Processing, ICIP '97, Santa Barbara, California, USA, October 26-29, 1997, pp. 152-155, 1997, IEEE Computer Society, 0-8186-8183-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
block integration, reliability metric, block matching technique, neighboring matching blocks, target image, flat matching blocks, simple edge blocks, motion estimation, motion estimation, motion compensation, coherence, motion vectors |
24 | Rong-Yuh Hwang |
An Efficient Technique of Instruction Scheduling on a Superscalar-Based Mulprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 33-39, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC |
24 | John Heinlein, Kourosh Gharachorloo, Robert P. Bosch Jr., Mendel Rosenblum, Anoop Gupta |
Coherent Block Data Transfer in the FLASH Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 18-27, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
FLASH multiprocessor, block data transfer, multiple communication protocols, embedded protocol processor, protocol, shared memory, prefetching, cache storage, FLASH, cache coherence protocol, multiprocessor architecture, MAGIC |
24 | Kuang-Chih Liu, Chung-Ta King |
On the effectiveness of sectored caches in reducing false sharing misses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 1997 International Conference on Parallel and Distributed Systems (ICPADS '97), 11-13 December 1997, Seoul, Korea, Proceedings, pp. 352-359, 1997, IEEE Computer Society, 0-8186-8227-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
sectored caches, false sharing misses, bus-based multiprocessors, coherence unit, MESI protocol, LU, SORBYR, SORBYC, benchmarks, FFT, performance metric, cache storage, Radix |
24 | Adrian Moga, Michel Dubois 0001, Alain Gefflaut |
Hardware Versus Software Implementation of COMA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 1997 International Conference on Parallel Processing (ICPP '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings, pp. 248-256, 1997, IEEE Computer Society, 0-8186-8108-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
software cache coherence, performance evaluation, distributed shared memory, networks of workstations, COMA |
24 | Yunseok Rhee, Joonwon Lee |
A Scalable Cache Coherent Architecture for Large-Scale Mesh-Connected Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1997 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '97), 18-20 December 1997, Taipei, Taiwan, pp. 64-70, 1997, IEEE Computer Society, 0-8186-8259-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
mesh-interconnect, directory-based protocol, multiprocessor, wormhole routing, cache coherence, dimension ordered routing |
24 | Yuguang Wu, Richard R. Muntz |
A New Cache Directory Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1996 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '96), June 12-14, 1996, Beijing, China, pp. 466-472, 1996, IEEE Computer Society, 0-8186-7460-1. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
cache directories, interconnection networks, shared-memory multiprocessors, cache coherence, scalable architectures |
24 | Xiaodong Zhang 0001, Yong Yan 0003 |
Comparative Modeling and Evaluation of CC-NUMA and COMA on Hierarchical Ring Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 6(12), pp. 1316-1331, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
performance modeling and measurements, the KSR1, shared-memory, Cache coherence, CC-NUMA, COMA, slotted rings |
24 | Cosimo Antonio Prete, Gianpaolo Prina, Luigi M. Ricciardi |
A Trace-Driven Simulator for Performance Evaluation of Cache-Based Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 6(9), pp. 915-929, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
multiple cache consistency, performance analysis, multiprocessor, Cache memory, trace-driven simulation, coherence protocol |
24 | Philippe Lacroute, Marc Levoy |
Fast volume rendering using a shear-warp factorization of the viewing transformation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 21th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1994, Orlando, FL, USA, July 24-29, 1994, pp. 451-458, 1994, ACM, 0-89791-667-0. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
volume rendering, medical imaging, scientific visualization, coherence |
24 | John M. Gauch, Stephen M. Pizer |
The Intensity Axis of Symmetry and Its Application to Image Segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 15(8), pp. 753-770, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
spatial variations, shape structures, intensity axis, geometric coherence, computer vision, computer vision, image processing, image segmentation, image segmentation, image recognition, symmetry, shape description, intensity variations, grey-scale images |
24 | Paul McKevitt, Derek Partridge, Yorick Wilks |
Approaches to natural language discourse processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Artif. Intell. Rev. ![In: Artif. Intell. Rev. 6(4), pp. 333-364, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
natural-language discourse, OSCON, semantics, context, natural-language processing, coherence, intention |
24 | Carl G. Wagner |
The Smith-Walley Interpretation of Subjective Probability: An Appreciation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Stud Logica ![In: Stud Logica 86(2), pp. 343-350, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Dutch book, strict coherence, subjective probability, probability kinematics, coherence |
24 | Ashwini K. Nanda, Laxmi N. Bhuyan |
Design and Analysis of Cache Coherent Multistage Interconnection Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 42(4), pp. 458-470, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
cache coherent multistage interconnection networks, multiple copy cache coherence protocol, multistage bus network, coherence traffic, performance evaluation, protocols, multiprocessor interconnection networks, simulation models |
24 | Ned Greene, Michael Kass, Gavin S. P. Miller |
Hierarchical Z-buffer visibility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 20th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1993, Anaheim, CA, USA, August 2-6, 1993, pp. 231-238, 1993, ACM, 0-89791-601-8. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
octree, temporal coherence, pyramid, Z buffer, spatial coherence |
21 | Min Kyoung Park, Volkan Rodoplu |
Energy Maps for Mobile Wireless Networks: Coherence Time versus Spreading Period. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 8(6), pp. 778-791, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Francesco Nesta, Piergiorgio Svaizer, Maurizio Omologo |
Cumulative State Coherence Transform for a Robust Two-Channel Multiple Source Localization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA ![In: Independent Component Analysis and Signal Separation, 8th International Conference, ICA 2009, Paraty, Brazil, March 15-18, 2009. Proceedings, pp. 290-297, 2009, Springer, 978-3-642-00598-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
TDOA estimation, multiple speaker localization, independent component analysis (ICA), Blind source separation (BSS) |
21 | Hossein Mobahi, Ronan Collobert, Jason Weston |
Deep learning from temporal coherence in video. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICML ![In: Proceedings of the 26th Annual International Conference on Machine Learning, ICML 2009, Montreal, Quebec, Canada, June 14-18, 2009, pp. 737-744, 2009, ACM, 978-1-60558-516-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato |
Extending the TokenCMP Cache Coherence Protocol for Low Overhead Fault Tolerance in CMP Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 19(8), pp. 1044-1056, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Jae-Kyun Ahn, Chang-Su Kim 0001 |
Real-time segmentation of objects from video sequences with non-stationary backgrounds using spatio-temporal coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2008, October 12-15, 2008, San Diego, California, USA, pp. 1544-1547, 2008, IEEE, 978-1-4244-1765-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato |
A fault-tolerant directory-based cache coherence protocol for CMP architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: The 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2008, June 24-27, 2008, Anchorage, Alaska, USA, Proceedings, pp. 267-276, 2008, IEEE Computer Society, 978-1-4244-2397-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Andrew DeOrio, Adam Bauserman, Valeria Bertacco |
Post-silicon verification for cache coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 26th International Conference on Computer Design, ICCD 2008, 12-15 October 2008, Lake Tahoe, CA, USA, Proceedings, pp. 348-355, 2008, IEEE Computer Society, 978-1-4244-2657-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Asta Zelenkauskaite, Susan C. Herring |
Television-Mediated Conversation: Coherence in Italian iTV SMS Chat. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 41st Hawaii International International Conference on Systems Science (HICSS-41 2008), Proceedings, 7-10 January 2008, Waikoloa, Big Island, HI, USA, pp. 145, 2008, IEEE Computer Society, 0-7695-3075-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Thomas Burwick |
Assemblies as Phase-Locked Pattern Sets That Collectively Win the Competition for Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICANN (2) ![In: Artificial Neural Networks - ICANN 2008, 18th International Conference, Prague, Czech Republic, September 3-6, 2008, Proceedings, Part II, pp. 617-626, 2008, Springer, 978-3-540-87558-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
complex-valued neural networks, oscillatory networks, pattern recognition, synchronization, Associative memory, temporal coding |
21 | Natalie D. Enright Jerger, Mikko H. Lipasti, Li-Shiuan Peh |
Circuit-Switched Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 6(1), pp. 5-8, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Lizhong Zheng, David N. C. Tse, Muriel Médard |
Channel Coherence in the Low-SNR Regime. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 53(3), pp. 976-997, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato |
A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA, pp. 157-168, 2007, IEEE Computer Society, 1-4244-0804-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Liqun Cheng, John B. Carter, Donglai Dai |
An Adaptive Cache Coherence Protocol Optimized for Producer-Consumer Sharing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA, pp. 328-339, 2007, IEEE Computer Society, 1-4244-0804-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Erkut Erdem, Aysun Sancar-Yilmaz, Sibel Tari |
Mumford-Shah Regularizer with Spatial Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSVM ![In: Scale Space and Variational Methods in Computer Vision, First International Conference, SSVM 2007, Ischia, Italy, May 30 - June 2, 2007, Proceedings, pp. 545-555, 2007, Springer, 978-3-540-72822-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Stephanie L. Woerner, JoAnne Yates, Wanda J. Orlikowski |
Conversational Coherence in Instant Messaging and Getting Work Done. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 40th Hawaii International International Conference on Systems Science (HICSS-40 2007), CD-ROM / Abstracts Proceedings, 3-6 January 2007, Waikoloa, Big Island, HI, USA, pp. 77, 2007, IEEE Computer Society, 0-7695-2755-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Lakshmana Rao Vittanala, Mainak Chaudhuri |
Integrating Memory Compression and Decompression with Coherence Protocols in Distributed Shared Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2007 International Conference on Parallel Processing (ICPP 2007), September 10-14, 2007, Xi-An, China, pp. 4, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | G. Zeibig |
Coherence for Product Monoids and their Actions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Categorical Struct. ![In: Appl. Categorical Struct. 14(3), pp. 215-227, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
AMS 2000 Mathematics Subject Classification Primary 18D35, Secondary 18D15, 19D23, 18C15 |
21 | Luiz A. Baccalá, Koichi Sameshima |
Comments on 'Is Partial Coherence a Viable Technique for Identifying Generators of Neural Oscillations?'. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Biol. Cybern. ![In: Biol. Cybern. 95(2), pp. 135-141, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | R. B. Govindan, Jan Raethjen, Kathrin Arning, Florian Kopper, Günther Deuschl |
Time Delay and Partial Coherence Analyses to Identify Cortical Connectivities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Biol. Cybern. ![In: Biol. Cybern. 94(4), pp. 262-275, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Philippe Pasquier, Iyad Rahwan, Frank Dignum, Liz Sonenberg |
Argumentation and Persuasion in the Cognitive Coherence Theory: Preliminary Report. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ArgMAS ![In: Argumentation in Multi-Agent Systems, Third International Workshop, ArgMAS 2006, Hakodate, Japan, May 8, 2006, Revised Selected and Invited Papers, pp. 193-210, 2006, Springer, 978-3-540-75525-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Aneta Poniszewska-Maranda |
Access Control Coherence of Information Systems Based on Security Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAFECOMP ![In: Computer Safety, Reliability, and Security, 25th International Conference, SAFECOMP 2006, Gdansk, Poland, September 27-29, 2006, Proceedings, pp. 412-425, 2006, Springer, 3-540-45762-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Iraide Zipitria, Ana Arruarte Lasa, Jon A. Elorriaga |
Observing Lemmatization Effect in LSA Coherence and Comprehension Grading of Learner Summaries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Tutoring Systems ![In: Intelligent Tutoring Systems, 8th International Conference, ITS 2006, Jhongli, Taiwan, June 26-30, 2006, Proceedings, pp. 595-603, 2006, Springer, 3-540-35159-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Z. G. Zhang 0001, S. C. Chan 0001 |
A new Kalman filter-based algorithm for adaptive coherence analysis of non-stationary multichannel time series. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Hao Li, Raphael Straub, Hartmut Prautzsch |
Structured Light Based Reconstruction under Local Spatial Coherence Assumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DPVT ![In: 3rd International Symposium on 3D Data Processing, Visualization and Transmission (3DPVT 2006), 14-16 June 2006, Chapel Hill, North Carolina, USA, pp. 575-582, 2006, IEEE Computer Society, 978-0-7695-2825-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Ling Fu Meng, Chiu-Ping Lu, Ching-Horng Chen |
Unskilled Finger Key Pressing and Brain Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCHP ![In: Computers Helping People with Special Needs, 10th International Conference, ICCHP 2006, Linz, Austria, July 11-13, 2006, Proceedings, pp. 437-441, 2006, Springer, 3-540-36020-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Xiaofang Chen, Yu Yang 0013, Ganesh Gopalakrishnan, Ching-Tsun Chou |
Reducing Verification Complexity of a Multicore Coherence Protocol Using Assume/Guarantee. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, 6th International Conference, FMCAD 2006, San Jose, California, USA, November 12-16, 2006, Proceedings, pp. 81-88, 2006, IEEE Computer Society, 0-7695-2707-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Nirav Dave, Man Cheuk Ng, Arvind |
Automatic synthesis of cache-coherence protocol processors using Bluespec. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMOCODE ![In: 3rd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2005), 11-14 July 2005, Verona, Italy, Proceedings, pp. 25-34, 2005, IEEE Computer Society, 0-7803-9227-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Mirko Loghi, Massimo Poncino |
Exploring Energy/Performance Tradeoffs in Shared Memory MPSoCs: Snoop-Based Cache Coherence vs. Software Solutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 508-513, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Sascha Karl Dörflein, Rudolf Wille |
Coherence Networks of Concept Lattices: The Basic Theorem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFCA ![In: Formal Concept Analysis, Third International Conference, ICFCA 2005, Lens, France, February 14-18, 2005, Proceedings, pp. 344-359, 2005, Springer, 3-540-24525-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Azzedine Boukerche, Alba Cristina Magalhaes Alves de Melo, Jeferson G. Koch, Cicero Roberto de Oliveira Galdino |
Multiple Coherence and Coordinated Checkpointing Protocols for DSM Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 34th International Conference on Parallel Processing Workshops (ICPP 2005 Workshops), 14-17 June 2005, Oslo, Norway, pp. 531-538, 2005, IEEE Computer Society, 0-7695-2381-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Andreas Moshovos |
RegionScout: Exploiting Coarse Grain Sharing in Snoop-Based Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 234-245, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Taeweon Suh, Hsien-Hsin S. Lee, Douglas M. Blough |
Integrating Cache Coherence Protocols for Heterogeneous Multiprocessor Systems, Part 2. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 24(5), pp. 70-78, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | G. Kats, Shlomi Arnon |
Analysis of optical coherence multiplexing networks for satellite communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 3(5), pp. 1444-1451, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Kai Baukus, Ron van der Meyden |
A Knowledge Based Analysis of Cache Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFEM ![In: Formal Methods and Software Engineering, 6th International Conference on Formal Engineering Methods, ICFEM 2004, Seattle, WA, USA, November 8-12, 2004, Proceedings, pp. 99-114, 2004, Springer, 3-540-23841-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Ying Chen, Dennis Abts, David J. Lilja |
State Pruning for Test Vector Generation for a Multiprocessor Cache Coherence Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Workshop on Rapid System Prototyping ![In: 15th IEEE International Workshop on Rapid System Prototyping (RSP 2004), 28-30 June 2004, Geneva, Switzerland, pp. 74-77, 2004, IEEE Computer Society, 0-7695-2159-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Ghassan Chehaibar |
Integrating Formal Verification with Mur ? of Distributed Cache Coherence Protocols in FAME Multiprocessor System Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FORTE ![In: Formal Techniques for Networked and Distributed Systems - FORTE 2004, 24th IFIP WG 6.1 International Conference, Madrid Spain, September 27-30, 2004, Proceedings, pp. 243-258, 2004, Springer, 3-540-23252-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Ching-Tsun Chou, Phanindra K. Mannava, Seungjoon Park |
A Simple Method for Parameterized Verification of Cache Coherence Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, 5th International Conference, FMCAD 2004, Austin, Texas, USA, November 15-17, 2004, Proceedings, pp. 382-398, 2004, Springer, 3-540-23738-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | John P. Sustersic, Ali R. Hurson |
A Quality of Service (QoS) Implementation of Internet Cache Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA (1) ![In: 18th International Conference on Advanced Information Networking and Applications (AINA 2004), 29-31 March 2004, Fukuoka, Japan, pp. 41-47, 2004, IEEE Computer Society, 0-7695-2051-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Lance Hammond, Brian D. Carlstrom, Vicky Wong, Ben Hertzberg, Michael K. Chen, Christos Kozyrakis, Kunle Olukotun |
Programming with transactional coherence and consistency (TCC). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004, pp. 1-13, 2004, ACM, 1-58113-804-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
feedback optimization, transactions, multiprocessor architecture |
21 | Patrick Bouthemy |
2D Motion Description and Contextual Motion Analysis: Issues and New Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCVMA ![In: Spatial Coherence for Visual Motion Analysis, First International Workshop, SCVMA 2004, Prague, Czech Republic, May 15, 2004, Revised Papers, pp. 1-15, 2004, Springer, 3-540-32533-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
21 | Anthony-Trung Nguyen, Josep Torrellas |
Design Trade-Offs in High-Throughput Coherence Controllers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003), 27 September - 1 October 2003, New Orleans, LA, USA, pp. 194-205, 2003, IEEE Computer Society, 0-7695-2021-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Horacio L. Arló-Costa |
Iterated abduction and conditional coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TARK ![In: Proceedings of the 9th Conference on Theoretical Aspects of Rationality and Knowledge (TARK-2003), Bloomington, Indiana, USA, June 20-22, 2003, pp. 173-186, 2003, ACM, 1-58113-731-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Philippe Pasquier, Nicolas Andrillon, Marc-André Labrie, Brahim Chaib-draa |
An Exploration in Using Cognitive Coherence Theory to Automate BDI Agents' Communicational Behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Agent Communication Languages ![In: Advances in Agent Communication, International Workshop on Agent Communication Languages, ACL 2003, Melbourne, Australia, July 14, 2003, pp. 37-58, 2003, Springer, 3-540-20769-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
21 | Collin McCurdy, Charles N. Fischer |
User-controllable coherence for high performance shared memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2003, June 11-13, 2003, San Diego, CA, USA, pp. 73-82, 2003, ACM, 1-58113-588-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
parallel computation, distributed memory architectures, shared memory architectures, irregular computation |
21 | Jingdan Zhang, Yongmei Wang, Baining Guo |
Pyramidal search of maximum coherence direction for biomedical image interpolation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: Proceedings of the 2002 IEEE International Symposium on Biomedical Imaging, Ritz-Carlton Hotel, Washington, DC, USA, 7-10 June 2002, pp. 887-890, 2002, IEEE, 0-7803-7584-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Carla Osthoff, Cristiana Bentes, Daniel Ariosto, Marta Mattoso, Claudio Luis de Amorim |
Evaluating the DSMIO Cache-Coherence Algorithm in Cluster-Based Parallel ODBMS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOIS ![In: Object-Oriented. Information Systems, 8th International Conference, OOIS 2002, Montpellier, France, September 2-5, 2002, Proceedings, pp. 286-297, 2002, Springer, 3-540-44087-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
21 | Frédéric Koriche |
On Anytime Coherence-Based Reasoning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECSQARU ![In: Symbolic and Quantitative Approaches to Reasoning with Uncertainty, 6th European Conference, ECSQARU 2001, Toulouse, France, September 19-21, 2001, Proceedings, pp. 556-567, 2001, Springer, 3-540-42464-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Lubomir Ivanov, Ramakrishna Nunna |
Modeling and verification of cache coherence protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 129-132, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
21 | Ilanthiraiyan Pragaspathy, Babak Falsafi |
Address Partitioning in DSM Clusters with Parallel Coherence Controllers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000, pp. 47-56, 2000, IEEE Computer Society, 0-7695-0622-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Angkul Kongmunvattana, Santipong Tanchatchawal, Nian-Feng Tzeng |
Coherence-based Coordinated Checkpointing for Software Distributed Shared Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 20th International Conference on Distributed Computing Systems, Taipei, Taiwan, April 10-13, 2000, pp. 556-563, 2000, IEEE Computer Society, 0-7695-0601-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | David Mentré, Daniel Le Métayer, Thierry Priol |
Formalization and Verification of Coherence Protocols with the Gamma Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDSE ![In: International Symposium on Software Engineering for Parallel and Distributed Systems, PDSE 2000, Limerick, Ireland, June 10-11, 2000, pp. 105-113, 2000, IEEE Computer Society, 0-7695-0634-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Siddhartha V. Tambat, Sriram Vajapeyam |
Non-Strict Cache Coherence: Exploiting Data-Race Tolerance in Emerging Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2000 International Conference on Parallel Processing, ICPP 2000, Toronto, Canada, August 21-24, 2000, pp. 87-94, 2000, IEEE Computer Society, 0-7695-0768-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Dorel Lucanu |
Axiomatization of the Coherence Property for Categories of Symmetries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCT ![In: Fundamentals of Computation Theory, 12th International Symposium, FCT '99, Iasi, Romania, August 30 - September 3, 1999, Proceedings, pp. 386-397, 1999, Springer, 3-540-66412-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
21 | Angkul Kongmunvattana, Nian-Feng Tzeng |
Coherence-Centric Logging and Recovery for Home-Based Software Distributed Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the International Conference on Parallel Processing 1999, ICPP 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 274-281, 1999, IEEE Computer Society, 0-7695-0350-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
21 | E. Ender Bilir, Ross M. Dickson, Ying Hu, Manoj Plakal, Daniel J. Sorin, Mark D. Hill, David A. Wood 0001 |
Multicast Snooping: A New Coherence Method Using a Multicast Address Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 26th Annual International Symposium on Computer Architecture, ISCA 1999, Atlanta, Georgia, USA, May 2-4, 1999, pp. 294-304, 1999, IEEE Computer Society, 0-7695-0170-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
21 | Won-Kee Hong, Nam-Hee Kim, Shin-Dug Kim |
Design and Performance Evaluation of an Adaptive Cache Coherence Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: International Conference on Parallel and Distributed Systems, ICPADS '98, Tainan, Taiwan, December 14-16, 1998, pp. 33-, 1998, IEEE Computer Society, 0-8186-8603-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
21 | Yuanyuan Zhou 0001, Liviu Iftode, Jaswinder Pal Singh, Kai Li 0001, Brian R. Toonen, Ioannis Schoinas, Mark D. Hill, David A. Wood 0001 |
Relaxed Consistency and Coherence Granularity in DSM Systems: A Performance Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the Sixth ACM SIGPLAN Symposium on Principles & Practice of Parallel Programming (PPOPP), Las Vegas, Nevada, USA, June 18-21, 1997, pp. 193-205, 1997, ACM, 0-89791-906-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
21 | Jürgen Risau, Alfred Mikschl, Werner Damm |
A RISC Approach to Weak Cache Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par, Vol. II ![In: Euro-Par '96 Parallel Processing, Second International Euro-Par Conference, Lyon, France, August 26-29, 1996, Proceedings, Volume II, pp. 453-456, 1996, Springer, 3-540-61627-6. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
21 | Lynn Choi, Pen-Chung Yew |
Compiler and Hardware Support for Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 283-294, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
21 | Toshio Mike Chin, William Clement Karl, Alan S. Willsky |
Probabilistic and sequential computation of optical flow using temporal coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 3(6), pp. 773-788, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
21 | Andreas Weber |
On Coherence in Computer Algebra. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISCO ![In: Design and Implementation of Symbolic Computation Systems, International Symposium, DISCO '93, Gmunden, Austria, September 15-17, 1993, Proceedings, pp. 95-106, 1993, Springer, 3-540-57235-X. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
21 | Stein Gjessing, Stein Krogdahl, Ellen Munthe-Kaas |
A Top Down Approach to the Formal Specification of SCI Cache Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 3rd International Workshop, CAV '91, Aalborg, Denmark, July, 1-4, 1991, Proceedings, pp. 83-91, 1991, Springer, 3-540-55179-4. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
21 | Mazin S. Algudady, Chita R. Das, Matthew Thazhuthaveetil |
A write update cache coherence protocol for MIN-based multiprocessors with accessibility-based split caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990, pp. 544-553, 1990, IEEE Computer Society, 0-89791-412-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
21 | John C. Willis, Arthur C. Sanderson, Charles R. Hill |
Cache coherence in systems with parallel communication channels many processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990, pp. 554-563, 1990, IEEE Computer Society, 0-89791-412-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
21 | Kai Li 0001, Paul Hudak |
Memory Coherence in Shared Virtual Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 7(4), pp. 321-359, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
21 | James K. Archibald, Jean-Loup Baer |
Cache Coherence Protocols: Evaluation Using a Multiprocessor Simulation Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 4(4), pp. 273-298, 1986. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
21 | Quang-Anh Nguyen Vu, Salima Hassas, Frederic Armetta, Benoit Gaudou, Richard Canal |
Combining Trust and Self-Organization for Robust Maintaining of Information Coherence in Disturbed MAS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SASO ![In: 5th IEEE International Conference on Self-Adaptive and Self-Organizing Systems, SASO 2011, Ann Arbor, MI, USA, October 3-7, 2011, pp. 178-187, 2011, IEEE Computer Society, 978-1-4577-1614-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
TrustNet, Multi-Agents System, Trust, Robustness, Mapping, Self-organizing, Coherence |
21 | Fucen Zeng, Lin Qiao, Wei Wang |
PEPCP: A Power-Efficient Parallel Coherence Protocol for Large-Scale Network-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: International Conference on Parallel Processing, ICPP 2011, Taipei, Taiwan, September 13-16, 2011, pp. 63-72, 2011, IEEE Computer Society, 978-1-4577-1336-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Network on chip, chip multiprocessors, cache coherence protocol |
21 | Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato |
Dealing with Transient Faults in the Interconnection Network of CMPs at the Cache Coherence Level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 21(8), pp. 1117-1131, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
fault tolerance, interconnection network, cache coherence, transient faults |
21 | Miho Itoh |
Contextual Analysis Processing Able to Interpret Coherence of Knowledge Representations Related to Reputation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMLA ![In: International Conference on Machine Learning and Applications, ICMLA 2009, Miami Beach, Florida, USA, December 13-15, 2009, pp. 528-535, 2009, IEEE Computer Society, 978-0-7695-3926-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Coherence of contents of multiple foci, Representations of unchangeable meaning called CEE, A more realistic natural language application, Disambiguating contextual knowledge representations, Reputation |
21 | Ming Lei, Yankui Sun, Daoshun Wang, Peng Li |
Automated Thickness Measurements of Pearl from Optical Coherence Tomography Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HIS (1) ![In: 9th International Conference on Hybrid Intelligent Systems (HIS 2009), August 12-14, 2009, Shenyang, China, pp. 247-251, 2009, IEEE Computer Society, 978-0-7695-3745-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
optical coherence tomography, nonlinear complex diffusion, boundary fitting, Support vector machine, pearl |
21 | Colin Braley, Robert Hagan, Yong Cao, Denis Gracanin |
GPU accelerated isosurface volume rendering using depth-based coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ASIA Posters ![In: International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH ASIA 2009, Yokohama, Japan, December 16-19, 2009, Poster Proceedings, pp. 42:1, 2009, ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
rotational coherence, GPGPU, depth buffer |
21 | Xiangrong Zhou, Chenjie Yu, Alokika Dash, Peter Petrov |
Application-aware snoop filtering for low-power cache coherence in embedded multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(1), pp. 16:1-16:25, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
snoop filtering, Cache coherence, low-power embedded systems, embedded multiprocessors |
Displaying result #301 - #400 of 7434 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|