The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for NBTI with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2002-2006 (24) 2007 (33) 2008 (16) 2009 (33) 2010 (41) 2011 (39) 2012 (35) 2013 (32) 2014 (33) 2015 (29) 2016 (33) 2017 (18) 2018 (25) 2019-2020 (24) 2021-2022 (21) 2023 (8)
Publication types (Num. hits)
article(161) inproceedings(283)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 110 occurrences of 58 keywords

Results
Found 444 publication records. Showing 444 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Arthur Ceratti, Thiago Copetti, Letícia Maria Bolzani Poehls, Fabian Vargas 0001 On-chip aging sensor to monitor NBTI effect in nano-scale SRAM. Search on Bibsonomy DDECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Reef Eilers, Malte Metzdorf, Sven Rosinger, Domenik Helms, Wolfgang Nebel Phase Space Based NBTI Model. Search on Bibsonomy PATMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Hossein Karimiyan Alidash, Andrea Calimera, Alberto Macii, Enrico Macii, Massimo Poncino On-Chip NBTI and PBTI Tracking through an All-Digital Aging Monitor Architecture. Search on Bibsonomy PATMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori NBTI mitigation by optimized NOP assignment and insertion. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Zhengliang Lv, Linda Milor, Shiyuan Yang Impact of NBTI on analog components. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Fabian Oboril, Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions. Search on Bibsonomy CODES+ISSS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yao Wang 0002, Sorin Dan Cotofana, Liang Fang Statistical reliability analysis of NBTI impact on FinFET SRAMs and mitigation technique using independent-gate devices. Search on Bibsonomy NANOARCH The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Saurabh Kothawade, Dean Michael Ancajas, Koushik Chakraborty, Sanghamitra Roy Mitigating NBTI in the physical register file through stress prediction. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Wen-Pin Tu, Shih-Wei Wu, Shih-Hsu Huang, Mely Chen Chi NBTI-aware dual threshold voltage assignment for leakage power reduction. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Tony T. Kim, Pong-Fei Lu, Chris H. Kim Design of ring oscillator structures for measuring isolated NBTI and PBTI. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Jackson Pachito, Celestino V. Martins, Jorge Semião, Marcelino Bicho Dos Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 The influence of clock-gating on NBTI-induced delay degradation. Search on Bibsonomy IOLTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Davide Zoni, William Fornaciari A sensor-less NBTI mitigation methodology for NoC architectures. Search on Bibsonomy SoCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yuichiro Mitani, Shigeto Fukatsu, Daisuke Hagishima, Kazuya Matsuzawa Lifetime prediction of channel hot carrier degradation in pMOSFETs separating NBTI component. Search on Bibsonomy ICICDT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Arthur Ceratti, Thiago Copetti, Letícia Maria Veiras Bolzani, Fabian Vargas 0001 Investigating the use of an on-chip sensor to monitor NBTI effect in SRAM. Search on Bibsonomy LATW The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Wei Liu 0016, Sandeep Miryala, Valerio Tenace, Andrea Calimera, Enrico Macii, Massimo Poncino NBTI effects on tree-like clock distribution networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Simone Corbetta, William Fornaciari NBTI mitigation in microprocessor designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Bhavitavya Bhadviya, Ayan Mandal, Sunil P. Khatri Alleviating NBTI-induced failure in off-chip output drivers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Saman Kiamehr, Farshad Firouzi, Mehdi Baradaran Tahoori Input and transistor reordering for NBTI and HCI reduction in complex CMOS gates. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Takashi Sato, Hiromitsu Awano, Hirofttmi Shimizu, Hiroshi Tsutsui, Hiroyuki Ochi Statistical observations of NBTI-induced threshold voltage shifts on small channel-area devices. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Yuji Kunitake, Toshinori Sato, Hiroto Yasuura, Takanori Hayashida Guidelines for mitigating NBTI degradation in on-chip memories. Search on Bibsonomy ISCIT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Zhao Chuan Lee, Kim Ming Ho, Zhi-Hui Kong, Tony T. Kim NBTI/PBTI-aware wordline voltage control with no boosted supply for stability improvement of half-selected SRAM cells. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Syed Askari, Mehrdad Nourani, Mini Rawat An on-chip NBTI monitor for estimating analog circuit degradation. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Ming-Chien Tsai, Yi-Wei Lin, Hao-I Yang, Ming-Hsien Tu, Wei-Chiang Shih, Nan-Chun Lien, Kuen-Di Lee, Shyh-Jye Jou, Ching-Te Chuang, Wei Hwang Embedded SRAM ring oscillator for in-situ measurement of NBTI and PBTI degradation in CMOS 6T SRAM array. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Jayanand Asok Kumar, Kenneth M. Butler, Heesoo Kim, Shobha Vasudevan Early prediction of NBTI effects using RTL source code analysis. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Hao-I Yang, Wei Hwang, Ching-Te Chuang Impacts of NBTI/PBTI and Contact Resistance on Power-Gated SRAM With High-kappa Metal-Gate Devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Yuji Kunitake, Toshinori Sato, Hiroto Yasuura Short Term Cell-Flipping Technique for Mitigating SNM Degradation Due to NBTI. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Hiroaki Konoura, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye Stress Probability Computation for Estimating NBTI-Induced Delay Degradation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Masaoud Houshmand Kaffashian, Reza Lotfi, Khalil Mafinezhad, Hamid Mahmoodi Impact of NBTI on performance of domino logic circuits in nano-scale CMOS. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18R. S. Oliveira, Jorge Semião, Isabel C. Teixeira, Marcelino B. Santos, João Paulo Teixeira 0001 On-Line BIST for Performance Failure Prediction Under NBTI-Induced Aging in Safety-Critical Applications. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ru Huang, Runsheng Wang, Changze Liu, Liangliang Zhang, Jing Zhuge, Yu Tao, Jinbin Zou, Yuchao Liu, Yangyuan Wang HCI and NBTI induced degradation in gate-all-around silicon nanowire transistors. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Jiann-Shiun Yuan, Wen-Kuan Yeh, Shuyu Chen, Chia-Wei Hsu NBTI reliability on high-k metal-gate SiGe transistor and circuit performances. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ivica Manic, Danijel Dankovic, Aneta Prijic, Vojkan Davidovic, Snezana Djoric-Veljkovic, Snezana Golubovic, Zoran Prijic, Ninoslav Stojadinovic NBTI related degradation and lifetime estimation in p-channel power VDMOSFETs under the static and pulsed NBT stress conditions. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Hao-I Yang, Shyh-Chyi Yang, Wei Hwang, Ching-Te Chuang Impacts of NBTI/PBTI on Timing Control Circuits and Degradation Tolerant Design in Nanoscale CMOS SRAM. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Prashant Singh, Eric Karl, Dennis Sylvester, David T. Blaauw Dynamic NBTI Management Using a 45 nm Multi-Degradation Sensor. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry Adaptive Body Bias for Reducing the Impacts of NBTI and Process Variations on 6T SRAM Cells. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Masaoud Houshmand Kaffashian, Reza Lotfi, Khalil Mafinezhad, Hamid Mahmoodi An optimization method for NBTI-aware design of domino logic circuits in nano-scale CMOS. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Toshihiro Kameda, Hiroaki Konoura, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye NBTI Mitigation by Giving Random Scan-in Vectors during Standby Mode. Search on Bibsonomy PATMOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Seyab Khan, Said Hamdioui ReverseAge: An online NBTI combating technique using time borrowing. Search on Bibsonomy IDT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Asen Asenov, Andrew R. Brown, Binjie Cheng Statistical aspects of NBTI/PBTI and impact on SRAM yield. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Tuck-Boon Chan, John Sartori, Puneet Gupta 0001, Rakesh Kumar 0002 On the efficacy of NBTI mitigation techniques. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Andrea Calimera, Mirko Loghi, Enrico Macii, Massimo Poncino Partitioned cache architectures for reduced NBTI-induced aging. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Yongho Lee, Taewhan Kim A fine-grained technique of NBTI-aware voltage scaling and body biasing for standard cell based designs. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ming-Chao Lee, Yu-Guang Chen, Ding-Kei Huang, Shih-Chieh Chang NBTI-aware power gating design. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Ashutosh Chakraborty, David Z. Pan Controlling NBTI degradation during static burn-in testing. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Jyothi Bhaskarr Velamala, Venkatesa Ravi, Yu Cao 0001 Failure diagnosis of asymmetric aging under NBTI. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Yao Wang 0002, Sorin Cotofana, Liang Fang A unified aging model of NBTI and HCI degradation towards lifetime reliability management for nanoscale MOSFET circuits. Search on Bibsonomy NANOARCH The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Saman Kiamehr, Abdulazim Amouri, Mehdi Baradaran Tahoori Investigation of NBTI and PBTI induced aging in different LUT implementations. Search on Bibsonomy FPT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Kai-Chiang Wu, Diana Marculescu, Ming-Chao Lee, Shih-Chieh Chang Analysis and mitigation of NBTI-induced performance degradation for power-gated circuits. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
18Chin-Hung Lin, Ing-Chao Lin, Kuan-Hui Li TG-based technique for NBTI degradation and leakage optimization. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
18Seyab Khan, Said Hamdioui Modeling and mitigating NBTI in nanoscale circuits. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Cesare Ferri, Dimitra Papagiannopoulou, R. Iris Bahar, Andrea Calimera NBTI-aware data allocation strategies for scratchpad memory based embedded systems. Search on Bibsonomy LATW The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Shi-Qun Zheng, Ing-Chao Lin, Yen-Han Lee Analyzing throughput of power and thermal-constraint multicore processor under NBTI effect. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Farshad Firouzi, Saman Kiamehr, Mehdi Baradaran Tahoori A linear programming approach for minimum NBTI vector selection. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Seyab Khan, Nor Zaidi Haron, Said Hamdioui, Francky Catthoor NBTI Monitoring and Design for Reliability in Nanoscale Circuits. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Saurabh Kothawade, Koushik Chakraborty, Sanghamitra Roy Analysis and mitigation of NBTI aging in register file: An end-to-end approach. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Taniya Siddiqua, Sudhanva Gurumurthi, Mircea R. Stan Modeling and analyzing NBTI in the presence of Process Variation. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Hong Luo, Xiaoming Chen 0003, Jyothi Velamala, Yu Wang 0002, Yu Cao 0001, Vikas Chandra, Yuchun Ma, Huazhong Yang Circuit-level delay modeling considering both TDDB and NBTI. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Masahiro Fukui, Yoriaki Nagata, Shuji Tsukiyama A power grid optimization algorithm considering timing degradation by NBTI. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Teruki Nakasato, Toru Nakura, Kunihiro Asada Stress-balance Flip-Flops for NBTI tolerant circuit based on Fine-Grain Redundancy. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Tony Tae-Hyoung Kim, Zhi-Hui Kong Impacts of NBTI/PBTI on SRAM VMIN and design techniques for SRAM VMIN improvement. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Wenping Wang, Shengqi Yang, Sarvesh Bhardwaj, Sarma B. K. Vrudhula, Frank Liu 0001, Yu Cao 0001 The Impact of NBTI Effect on Combinational Circuit: Modeling, Simulation, and Analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18John Keane 0001, Tony Tae-Hyoung Kim, Chris H. Kim An On-Chip NBTI Sensor for Measuring pMOS Threshold Voltage Degradation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Yiran Chen 0001, Hai Li 0001, Cheng-Kok Koh, Guangyu Sun 0003, Jing Li 0073, Yuan Xie 0001, Kaushik Roy 0001 Variable-Latency Adder (VL-Adder) Designs for Low Power and NBTI Tolerance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Elie Maricau, Georges G. E. Gielen Efficient Variability-Aware NBTI and Hot Carrier Circuit Reliability Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Andrea Calimera, Enrico Macii, Massimo Poncino NBTI-Aware Clustered Power Gating. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Paulo F. Butzen, Vinícius Dal Bem, André Inácio Reis, Renato P. Ribas Transistor network restructuring against NBTI degradation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Sharifah Wan Muhamad Hatta, Norhayati Soin, D. Abd Hadi, Jianfu Zhang 0001 NBTI degradation effect on advanced-process 45 nm high-k PMOSFETs with geometric and process variations. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Dong Wook Kim, Woo Sang Park, Jong Tae Park 0003 The optimum fin width in p-MuGFETs with the consideration of NBTI and hot carrier degradation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Jin-Young Kim, Jun-Seok Oh, Won-Ju Cho, Jong Tae Park 0003 NBTI and hot carrier effect of Schottky-barrier p-MOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Taniya Siddiqua, Sudhanva Gurumurthi Recovery Boosting: A Technique to Enhance NBTI Recovery in SRAM Arrays. Search on Bibsonomy ISVLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Seyab, Said Hamdioui NBTI modeling in the framework of temperature variation. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Lin Li, Youtao Zhang, Jun Yang 0002, Jianhua Zhao Proactive NBTI mitigation for busy functional units in out-of-order microprocessors. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Andrew J. Ricketts, Jawar Singh, Krishnan Ramakrishnan, Narayanan Vijaykrishnan, Dhiraj K. Pradhan Investigating the impact of NBTI on different power saving cache strategies. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Mitsumasa Noda, Seiji Kajihara, Yasuo Sato, Kohei Miyase, Xiaoqing Wen, Yukiya Miura On estimation of NBTI-Induced delay degradation. Search on Bibsonomy ETS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Jin Sun 0006, Roman L. Lysecky, Karthik Shankar, Avinash Karanth Kodi, Ahmed Louri, Janet Meiling Wang Workload capacity considering NBTI degradation in multi-core systems. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Prashant Singh, Eric Karl, Dennis Sylvester, David T. Blaauw Dynamic NBTI management using a 45nm multi-degradation sensor. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Michitarou Yabuuchi, Kazutoshi Kobayashi Evaluation of FPGA design guardband caused by inhomogeneous NBTI degradation considering process variations. Search on Bibsonomy FPT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Tong Boon Tang, Alan F. Murray, Binjie Cheng, Asen Asenov Statistical NBTI-effect prediction for ULSI circuits. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Andrea Calimera, Enrico Macii, Massimo Poncino Analysis of NBTI-induced SNM degradation in power-gated SRAM cells. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Georgios Karakonstantis, Charles Augustine, Kaushik Roy 0001 A self-consistent model to estimate NBTI degradation and a comprehensive on-line system lifetime enhancement technique. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Seyab Khan, Said Hamdioui Temperature dependence of NBTI induced delay. Search on Bibsonomy IOLTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Sangwoo Han, Juho Kim NBTI-aware statistical timing analysis framework. Search on Bibsonomy SoCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Yuriy Shiyanovskii, Francis G. Wolff, Aravind Rajendran, Christos A. Papachristou, Daniel J. Weyer, W. Clay Process reliability based trojans through NBTI and HCI effects. Search on Bibsonomy AHS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Hamed Abrishami, Safar Hatami, Massoud Pedram Multi-corner, energy-delay optimized, NBTI-aware flip-flop design. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Yuji Kunitake, Toshinori Sato, Hiroto Yasuura Signal probability control for relieving NBTI in SRAM cells. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Hiroaki Konoura, Yukio Mitsuyama, Masanori Hashimoto, Takao Onoye Comparative study on delay degrading estimation due to NBTI with circuit/instance/transistor-level stress probability consideration. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Abhishek A. Sinkar, Nam Sung Kim Analyzing and minimizing effects of temperature variation and NBTI on active leakage power of power-gated circuits. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Renan Alves Fonseca, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Nabil Badereddine Detecting NBTI induced failures in SRAM core-cells. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Fahad Ahmed, Linda Milor Reliable cache design with on-chip monitoring of NBTI degradation in SRAM cells using BIST. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Hong Luo, Yu Wang 0002, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-Aware NBTI Modeling Techniques in Digital Circuits. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Anastasios A. Katsetos, Andrew C. Brendler NBTI model development with regression analysis. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Yong Woo Jeon, Dae Hyun Ka, Chong-Gun Yu, Won-Ju Cho, M. Saif Islam, Jong Tae Park 0003 NBTI and hot carrier effect of SOI p-MOSFETs fabricated in strained Si SOI wafer. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Nozomu Kawai, Yasuhiro Dohi, Nobuyuki Wakai Study for pulse stress NBTI characteristics degradation stress. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Chi-Woo Lee, Isabelle Ferain, Aryan Afzalian, Ran Yan, Nima Dehdashti, Pedram Razavi, Jean-Pierre Colinge, Jong Tae Park 0003 NBTI and hot-carrier effects in accumulation-mode Pi-gate pMOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18R. Fernández-García, Ben Kaczer, Guido Groeseneken A CMOS circuit for evaluating the NBTI over a wide frequency range. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Aditya Bansal, Rahul M. Rao, Jae-Joon Kim, Sufi Zafar, James H. Stathis, Ching-Te Chuang Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18David R. Bild, Gregory E. Bok, Robert P. Dick Minimization of NBTI performance degradation using internal node control. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Ashutosh Chakraborty, Gokul Ganesan, Anand Rajaram, David Z. Pan Analysis and optimization of NBTI induced clock skew in gated clock trees. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Kai-Chiang Wu, Diana Marculescu Joint logic restructuring and pin reordering against NBTI-induced performance degradation. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Stefan Drapatz, Thomas Fischer, Karl Hofmann, Ettore Amirante, Peter Huber, Martin Ostermayr, Georg Georgakos, Doris Schmitt-Landsiedel Fast stability analysis of large-scale SRAM arrays and the impact of NBTI degradation. Search on Bibsonomy ESSCIRC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 444 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license