|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 52 occurrences of 39 keywords
|
|
|
Results
Found 678 publication records. Showing 629 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
23 | Manabu Nii, Takuya Iwamoto, Yuichi Ishibashi, Daiki Komori |
Improvement of Fuzzy Neural Network Based Human Activity Estimation System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: 2015 IEEE International Conference on Systems, Man, and Cybernetics, Kowloon Tong, Hong Kong, October 9-12, 2015, pp. 2310-2315, 2015, IEEE, 978-1-4799-8697-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Naotake Kamiura, Manabu Nii, Takayuki Yumoto, Tomofusa Yamauchi, Hitoshi Tabuchi |
On Clinical-Data-Based Personal Identification for Ophthalmological Patients. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: 2015 IEEE International Conference on Systems, Man, and Cybernetics, Kowloon Tong, Hong Kong, October 9-12, 2015, pp. 2316-2321, 2015, IEEE, 978-1-4799-8697-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Haruki Mori, Tomoki Nakagawa, Yuki Kitahara, Yuta Kawamoto, Kenta Takagi, Shusuke Yoshimoto, Shintaro Izumi, Koji Nii, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto |
A 298-fJ/writecycle 650-fJ/readcycle 8T three-port SRAM in 28-nm FD-SOI process technology for image processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: 2015 IEEE Custom Integrated Circuits Conference, CICC 2015, San Jose, CA, USA, September 28-30, 2015, pp. 1-4, 2015, IEEE, 978-1-4799-8682-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Masakazu Morimoto, Syoji Kobashi, Naotake Kamiura, Yutaka Hata, Ken-ichi Sorachi |
Medical Checkup and Image Data Analysis for Preventing Life Style Diseases: A Research Survey of Japan Society for the Promotion of Science with Grant-in-Aid for Scientific Research (A) (Grant number 25240038). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICETET ![In: 7th International Conference on Emerging Trends in Engineering & Technology, ICETET 2015, Kobe, Japan, November 18-20, 2015, pp. 117-122, 2015, IEEE, 978-1-4673-8305-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Naotake Kamiura, Manabu Nii, Takayuki Yumoto, Tomofusa Yamauchi, Hitoshi Tabuchi |
On Data Classification of Cataract Patients for Selecting Intraocular Lens Power Formula. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICETET ![In: 7th International Conference on Emerging Trends in Engineering & Technology, ICETET 2015, Kobe, Japan, November 18-20, 2015, pp. 174-179, 2015, IEEE, 978-1-4673-8305-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Yuichi Ishibashi, Manabu Nii, Daiki Komori, Takuya Iwamoto, Tomoharu Nakashima, Yutaka Komai |
4D Simulator Using Evolving Cellular Automata for Computer Aided Macrophage Behavior Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICETET ![In: 7th International Conference on Emerging Trends in Engineering & Technology, ICETET 2015, Kobe, Japan, November 18-20, 2015, pp. 160-164, 2015, IEEE, 978-1-4673-8305-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Tadaaki Yamauchi, Hiroyuki Kondo, Koji Nii |
Automotive low power technology for IoT society. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSIC ![In: Symposium on VLSI Circuits, VLSIC 2015, Kyoto, Japan, June 17-19, 2015, pp. 80-, 2015, IEEE, 978-4-86348-502-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Yasumasa Tsukamoto, Masao Morimoto, Makoto Yabuuchi, Miki Tanaka, Koji Nii |
1.8 Mbit/mm2 ternary-CAM macro with 484 ps search access time in 16 nm Fin-FET bulk CMOS technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSIC ![In: Symposium on VLSI Circuits, VLSIC 2015, Kyoto, Japan, June 17-19, 2015, pp. 274-, 2015, IEEE, 978-4-86348-502-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Quist-Aphetsi Kester, Anca Christine Pascu, Laurent Nana, Sophie Gire, Jojo Moses Eghan, Nii Narku Quaynor |
Feature Based Encryption Technique for Securing Digital Image Data Based on FCA-Image Attributes and Visual Cryptography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (4) ![In: Computational Science and Its Applications - ICCSA 2015 - 15th International Conference, Banff, AB, Canada, June 22-25, 2015, Proceedings, Part IV, pp. 712-724, 2015, Springer, 978-3-319-21409-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Quist-Aphetsi Kester, Laurent Nana, Anca Christine Pascu, Sophie Gire, Jojo Moses Eghan, Nii Narku Quaynor |
A Security Technique for Authentication and Security of Medical Images in Health Information Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (Short Papers/poster papers/PhD student showcase works) ![In: 15th International Conference on Computational Science and Its Applications, ICCSA 2015, Banff, AB, Canada, June 22-25, 2015, short papers, poster papers, and PhD student showcase works, pp. 8-13, 2015, IEEE Computer Society, 978-1-4673-7367-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Hiroyuki Ikegami, Manabu Tsukada, Hideya Ochiai, Hideaki Nii, Hiroshi Esaki |
Electric current based power line communication for plug-load device auto identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SmartGridComm ![In: 2015 IEEE International Conference on Smart Grid Communications, SmartGridComm 2015, Miami, FL, USA, November 2-5, 2015, pp. 121-126, 2015, IEEE, 978-1-4673-8289-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Yoshisato Yokoyama, Yuichiro Ishii, Toshihiro Inada, Koji Tanaka, Miki Tanaka, Yoshiki Tsujihashi, Koji Nii |
A cost effective test screening method on 40-nm 4-Mb embedded SRAM for low-power MCU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
A-SSCC ![In: IEEE Asian Solid-State Circuits Conference, A-SSCC 2015, Xia'men, China, November 9-11, 2015, pp. 1-4, 2015, IEEE, 978-1-4673-7191-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
23 | Vinton G. Cerf, Nii Narku Quaynor |
The Internet of Everyone. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Internet Comput. ![In: IEEE Internet Comput. 18(3), pp. 96, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Yukiko Umemoto, Koji Nii, Jiro Ishikawa, Makoto Yabuuchi, Kazuyoshi Okamoto, Yasumasa Tsukamoto, Shinji Tanaka, Koji Tanaka, Tetsuya Matsumura, Kazutaka Mori, Kazumasa Yanagisawa |
28 nm 50% Power-Reducing Contacted Mask Read Only Memory Macro With 0.72-ns Read Access Time Using 2T Pair Bitcell and Dynamic Column Source Bias Control Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 22(3), pp. 575-584, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Kening Zhu, Rongbo Zhu, Hideaki Nii, Hooman Samani 0001, Borhan (Brian) Jalaeian |
PaperIO: A 3D Interface towards the Internet of Embedded Paper-Craft. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Inf. Syst. ![In: IEICE Trans. Inf. Syst. 97-D(10), pp. 2597-2605, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Yohei Nakata, Yuta Kimi, Shunsuke Okumura, Jinwook Jung, Takuya Sawada, Taku Toshikawa, Makoto Nagata, Hirofumi Nakano, Makoto Yabuuchi, Hidehiro Fujiwara, Koji Nii, Hiroyuki Kawai, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto |
A 40-nm Resilient Cache Memory for Dynamic Variation Tolerance Delivering ×91 Failure Rate Improvement under 35% Supply Voltage Fluctuation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 97-C(4), pp. 332-341, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Linfeng Xu 0001, Liaoyuan Zeng, Huiping Duan, Nii Longdon Sowah |
Saliency detection in complex scenes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EURASIP J. Image Video Process. ![In: EURASIP J. Image Video Process. 2014, pp. 31, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Kazunobu Takahama, Shota Miyake, Atsuko Uchinuno, Reiko Sakashita |
Rule Representation for Nursing-Care Process Evaluation Using Decision Tree Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Adv. Comput. Intell. Intell. Informatics ![In: J. Adv. Comput. Intell. Intell. Informatics 18(6), pp. 918-925, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Tetsuya Matsumura, Naoya Okada, Yoshifumi Kawamura, Koji Nii, Kazutami Arimoto, Hiroshi Makino, Yoshio Matsuda |
The LSI implementation of a memory based field programmable device for MCU peripherals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, DDECS 2014, Warsaw, Poland, 23-25 April, 2014, pp. 183-188, 2014, IEEE Computer Society, 978-1-4799-4560-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Paula Alexandra Silva, Kelly Holden, Aska Nii |
Smartphones, Smart Seniors, But Not-So-Smart Apps: A Heuristic Evaluation of Fitness Apps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (25) ![In: Foundations of Augmented Cognition. Advancing Human Performance and Decision-Making through Adaptive Systems - 8th International Conference, AC 2014, Held as Part of HCI International 2014, Heraklion, Crete, Greece, June 22-27, 2014. Proceedings, pp. 347-358, 2014, Springer, 978-3-319-07526-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Quist-Aphetsi Kester, Laurent Nana, Anca Christine Pascu, Sophie Gire, Jojo Moses Eghan, Nii Narku Quaynor |
A Hybrid Encryption Technique for Securing Biometric Image Data Based on Feistel Network and RGB Pixel Displacement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNDS ![In: Recent Trends in Computer Networks and Distributed Systems Security - Second International Conference, SNDS 2014, Trivandrum, India, March 13-14, 2014, Proceedings, pp. 530-539, 2014, Springer, 978-3-642-54524-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Kazunobu Takahama, Atsuko Uchinuno, Reiko Sakashita |
Soft class decision for nursing-care text classification using a k-nearest neighbor based system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FUZZ-IEEE ![In: IEEE International Conference on Fuzzy Systems, FUZZ-IEEE 2014, Beijing, China, July 6-11, 2014, pp. 1825-1830, 2014, IEEE. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Erwing Fabian Cardozo, Ryan Zurakowski, Nii O. Attoh-Okine |
Analysis of HIV-1 compartmental model parameters using Bayesian MCMC estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACC ![In: American Control Conference, ACC 2014, Portland, OR, USA, June 4-6, 2014, pp. 2765-2770, 2014, IEEE, 978-1-4799-3272-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Benjamin Culeux, Tomoharu Nakashima, Toshinobu Hayashi, Manabu Nii, Yutaka Komai |
A three-dimensional macrophages movement analyser. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCIS&ISIS ![In: 2014 Joint 7th International Conference on Soft Computing and Intelligent Systems (SCIS) and 15th International Symposium on Advanced Intelligent Systems (ISIS), Kita-Kyushu, Japan, December 3-6, 2014, pp. 1424-1427, 2014, IEEE, 978-1-4799-5955-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Kazunobu Takahama, Atsuko Uchinuno, Reiko Sakashita |
A directed graph based feature definition for classifying nursing-care texts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: 2014 IEEE International Conference on Systems, Man, and Cybernetics, SMC 2014, San Diego, CA, USA, October 5-8, 2014, pp. 3691-3695, 2014, IEEE, 978-1-4799-3840-7. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Kazunobu Takahama, Takuya Iwamoto, Takafumi Matsuda, Yuki Matsumoto, Kazusuke Maenaka |
Fuzzy neural network based activity estimation for recording human daily activity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RiiSS ![In: 2014 IEEE Symposium on Robotic Intelligence in Informationally Structured Space, RiiSS 2014, Orlando, FL, USA, December 9-12, 2014, pp. 80-85, 2014, IEEE, 978-1-4799-4465-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Mitsuhiko Igarashi, Toshifumi Uemura, Ryo Mori, Noriaki Maeda, Hiroshi Kishibe, Midori Nagayama, Masaaki Taniguchi, Kohei Wakahara, Toshiharu Saito, Masaki Fujigaya, Kazuki Fukuoka, Koji Nii, Takeshi Kataoka, Toshihiro Hattori |
10.2 A 28nm HPM heterogeneous multi-core mobile application processor with 2GHz cores and low-power 1GHz cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2014 IEEE International Conference on Solid-State Circuits Conference, ISSCC 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014, pp. 178-179, 2014, IEEE, 978-1-4799-0918-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Koji Nii, Teruhiko Amano, Naoya Watanabe, Minoru Yamawaki, Kenji Yoshinaga, Mihoko Wada, Isamu Hayashi |
13.6 A 28nm 400MHz 4-parallel 1.6Gsearch/s 80Mb ternary CAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2014 IEEE International Conference on Solid-State Circuits Conference, ISSCC 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014, pp. 240-241, 2014, IEEE, 978-1-4799-0918-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Makoto Yabuuchi, Yasumasa Tsukamoto, Masao Morimoto, Miki Tanaka, Koji Nii |
13.3 20nm High-density single-port and dual-port SRAMs with wordline-voltage-adjustment system for read/write assists. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2014 IEEE International Conference on Solid-State Circuits Conference, ISSCC 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014, pp. 234-235, 2014, IEEE, 978-1-4799-0918-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Silvia Galvan Nunez, Nii O. Attoh-Okine |
Metaheuristics in big data: An approach to railway engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE BigData ![In: 2014 IEEE International Conference on Big Data (IEEE BigData 2014), Washington, DC, USA, October 27-30, 2014, pp. 42-47, 2014, IEEE Computer Society, 978-1-4799-5665-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Nii O. Attoh-Okine |
Big data challenges in railway engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE BigData ![In: 2014 IEEE International Conference on Big Data (IEEE BigData 2014), Washington, DC, USA, October 27-30, 2014, pp. 7-9, 2014, IEEE Computer Society, 978-1-4799-5665-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Offei Adarkwa, Thomas Schumacher, Nii O. Attoh-Okine |
Multiway Analysis of bridge structural types in the National Bridge Inventory (NBI): A tensor decomposition approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE BigData ![In: 2014 IEEE International Conference on Big Data (IEEE BigData 2014), Washington, DC, USA, October 27-30, 2014, pp. 1-6, 2014, IEEE Computer Society, 978-1-4799-5665-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Shinji Tanaka, Yuichiro Ishii, Makoto Yabuuchi, Toshiaki Sano, Koji Tanaka, Yasumasa Tsukamoto, Koji Nii, Hirotoshi Sato |
A 512-kb 1-GHz 28-nm partially write-assisted dual-port SRAM with self-adjustable negative bias bitline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSIC ![In: Symposium on VLSI Circuits, VLSIC 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014, pp. 1-2, 2014, IEEE, 978-1-4799-3327-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Yuta Ueda, Karin Iwazaki, Mina Shibasaki, Yusuke Mizushina, Masahiro Furukawa, Hideaki Nii, Kouta Minamizawa, Susumu Tachi |
HaptoMIRAGE: mid-air autostereoscopic display for seamless interaction with mixed reality environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Posters ![In: Special Interest Group on Computer Graphics and Interactive Techniques Conference, SIGGRAPH '14, Vancouver, Canada, August 10-14, 2014, Posters Proceedings, pp. 30:1, 2014, ACM, 978-1-4503-2958-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Yuta Ueda, Karin Iwazaki, Mina Shibasaki, Yusuke Mizushina, Masahiro Furukawa, Hideaki Nii, Kouta Minamizawa, Susumu Tachi |
HaptoMIRAGE: mid-air autostereoscopic display for seamless interaction with mixed reality environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Emerging Technologies ![In: Special Interest Group on Computer Graphics and Interactive Techniques Conference, SIGGRAPH '14, Vancouver, Canada, August 10-14, 2014, Emerging Technologies, pp. 10:1, 2014, ACM, 978-1-4503-2961-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Hidehiro Fujiwara, Makoto Yabuuchi, Koji Nii |
Assessing uniqueness and reliability of SRAM-based Physical Unclonable Functions from silicon measurements in 45-nm bulk CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, March 3-5, 2014, pp. 523-528, 2014, IEEE, 978-1-4799-3945-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Yoshisato Yokoyama, Yuichiro Ishii, Hidemitsu Kojima, Atsushi Miyanishi, Yoshiki Tsujihashi, Shinobu Asayama, Kazutoshi Shiba, Koji Tanaka, Tatsuya Fukuda, Koji Nii, Kazumasa Yanagisawa |
40nm Ultra-low leakage SRAM at 170 deg.C operation for embedded flash MCU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, March 3-5, 2014, pp. 24-31, 2014, IEEE, 978-1-4799-3945-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Yohei Nakata, Yuta Kimi, Shunsuke Okumura, Jinwook Jung, Takuya Sawada, Taku Toshikawa, Makoto Nagata, Hirofumi Nakano, Makoto Yabuuchi, Hidehiro Fujiwara, Koji Nii, Hiroyuki Kawai, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto |
A 40-nm resilient cache memory for dynamic variation tolerance with bit-enhancing memory and on-chip diagnosis structures delivering ×91 failure rate improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Fifteenth International Symposium on Quality Electronic Design, ISQED 2014, Santa Clara, CA, USA, March 3-5, 2014, pp. 16-23, 2014, IEEE, 978-1-4799-3945-9. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Kaveri A. Thakoor, Nii Mante, Carey Zhang, Christian Siagian, James D. Weiland, Laurent Itti, Gérard G. Medioni |
A System for Assisting the Visually Impaired in Localization and Grasp of Desired Objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECCV Workshops (3) ![In: Computer Vision - ECCV 2014 Workshops - Zurich, Switzerland, September 6-7 and 12, 2014, Proceedings, Part III, pp. 643-657, 2014, Springer, 978-3-319-16198-3. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Yosuke Ito, Hiroshi Nii, Tomohiko Takeichi |
Interferometric SAR processing as a subject for science and technology education. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGARSS ![In: 2014 IEEE Geoscience and Remote Sensing Symposium, IGARSS 2014, Quebec City, QC, Canada, July 13-18, 2014, pp. 4520-4523, 2014, IEEE, 978-1-4799-5775-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Yoshisato Yokoyama, Yuichiro Ishii, Koji Tanaka, Tatsuya Fukuda, Yoshiki Tsujihashi, Atsushi Miyanishi, Shinobu Asayama, Keiichi Maekawa, Kazutoshi Shiba, Koji Nii |
40 nm Dual-port and two-port SRAMs for automotive MCU applications under the wide temperature range of -40 to 170°C with test screening against write disturb issues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
A-SSCC ![In: IEEE Asian Solid-State Circuits Conference, A-SSCC 2014, KaoHsiung, Taiwan, November 10-12, 2014, pp. 25-28, 2014, IEEE, 978-1-4799-4090-5. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
23 | Isamu Hayashi, Teruhiko Amano, Naoya Watanabe, Yuji Yano, Yasuto Kuroda, M. Shirata, Katsumi Dosaka, Koji Nii, Hideyuki Noda, Hiroyuki Kawai |
A 250-MHz 18-Mb Full Ternary CAM With Low-Voltage Matchline Sensing Scheme in 65-nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 48(11), pp. 2671-2680, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Noriaki Maeda, Shigenobu Komatsu, Masao Morimoto, Koji Tanaka, Yasumasa Tsukamoto, Koji Nii, Yasuhisa Shimazaki |
A 0.41 µA Standby Leakage 32 kb Embedded SRAM with Low-Voltage Resume-Standby Utilizing All Digital Current Comparator in 28 nm HKMG CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 48(4), pp. 917-923, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Kazuki Fukuoka, Noriaki Maeda, Koji Nii, Masaki Fujigaya, Noriaki Sakamoto, Takao Koike, Takahiro Irita, Kohei Wakahara, Tsugio Matsuyama, Keiji Hasegawa, Toshiharu Saito, Akira Fukuda, Kaname Teranishi, Takeshi Kataoka, Toshihiro Hattori |
Power-Management Features of R-Mobile U2, an Integrated Application Processor and Baseband Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 33(6), pp. 26-36, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Daisaku Kimura, Manabu Nii, Takafumi Yamaguchi, Yutaka Takahashi 0002 |
Improvement of learning of Fuzzified Neural Networks for the Chemical Plant Diagnosis Using a Statistic-based Grade Assignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Multiple Valued Log. Soft Comput. ![In: J. Multiple Valued Log. Soft Comput. 21(3-4), pp. 269-285, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
23 | Shusuke Yoshimoto, Shunsuke Okumura, Koji Nii, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto |
Multiple-Cell-Upset Tolerant 6T SRAM Using NMOS-Centered Cell Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 96-A(7), pp. 1579-1585, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Quist-Aphetsi Kester, Koumadi, Koudjo M, Nii Narku Quaynor |
An Integrated Geographic Information System and Marketing Information System Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1307.7787, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP BibTeX RDF |
|
23 | Kening Zhu, Hideaki Nii, Owen Noel Newton Fernando, Jeffrey Tzu Kwan Valino Koh, Karin Aue, Adrian David Cheok |
Designing Interactive Paper-Craft Systems with Selective Inductive Power Transmission. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interact. Comput. ![In: Interact. Comput. 25(2), pp. 143-153, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Takayuki Yumoto, Ryohei Tada, Manabu Nii, Kunihiro Sato |
Finding Rare Web Pages by Relevancy and Atypicality in a Category. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IIAI-AAI ![In: 2013 Second IIAI International Conference on Advanced Applied Informatics, IIAI-AAI 2013, Matsue, Japan, August 31 - Sept. 4, 2013, pp. 284-288, 2013, IEEE Computer Society, 978-0-7695-5071-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Takashi Isoyama, Koki Ariyoshi, Kyosuke Nii, Itsuro Saito, Kazuyoshi Fukunaga, Yusuke Inoue 0002, Toshiya Ono, Kohei Ishii, Shintaro Hara, Kou Imachi, Madoka Takai, Yusuke Abe |
Emergency life support system aiming preprimed oxygenator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMBC ![In: 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC 2013, Osaka, Japan, July 3-7, 2013, pp. 5731-5734, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Benjamin Culeux, Tomoharu Nakashima, Toshinobu Hayashi, Manabu Nii, Yutaka Komai |
A Macrophage Simulator for Understanding Its Dynamic Behaviour from Video Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: IEEE International Conference on Systems, Man, and Cybernetics, Manchester, SMC 2013, United Kingdom, October 13-16, 2013, pp. 1795-1798, 2013, IEEE, 978-0-7695-5154-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Yoshihiro Kakiuchi, Toshinobu Hayashi, Kazunobu Takahama, Takayuki Yumoto |
Fuzzified Neural Network Based Human Physical Condition Monitoring Using MEMS Based Monitoring Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: IEEE International Conference on Systems, Man, and Cybernetics, Manchester, SMC 2013, United Kingdom, October 13-16, 2013, pp. 2146-2151, 2013, IEEE, 978-0-7695-5154-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Shouta Miyake, Kazunobu Takahama, Atsuko Uchinuno, Reiko Sakashita |
Consideration about Utilizing Text Architecture for Making Feature Vectors in Classifying Nursing-Care Texts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: IEEE International Conference on Systems, Man, and Cybernetics, Manchester, SMC 2013, United Kingdom, October 13-16, 2013, pp. 1817-1821, 2013, IEEE, 978-0-7695-5154-8. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Koji Nii, Toshiaki Kirihata |
Advanced memory topics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, CICC 2013, San Jose, CA, USA, September 22-25, 2013, pp. 1, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Takao Nomura, Ryo Mori, Munehiro Ito, Koji Takayanagi, Toshihiko Ochiai, Kazuki Fukuoka, Kazuo Otsuga, Koji Nii, Sadayuki Morita, Tomoaki Hashimoto, Tsuyoshi Kida, Junichi Yamada, Hideki Tanaka |
Testability improvement for 12.8 GB/s Wide IO DRAM controller by small area pre-bonding TSV tests and a 1 GHz sampled fully digital noise monitor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, CICC 2013, San Jose, CA, USA, September 22-25, 2013, pp. 1-4, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Makoto Yabuuchi, Hidehiro Fujiwara, Yasumasa Tsukamoto, Miki Tanaka, Shinji Tanaka, Koji Nii |
A 28nm high density 1R/1W 8T-SRAM macro with screening circuitry against read disturb failure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, CICC 2013, San Jose, CA, USA, September 22-25, 2013, pp. 1-4, 2013, IEEE. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Yoshihiro Kakiuchi, Kazunobu Takahama, Kazusuke Maenaka, Kohei Higuchi, Takayuki Yumoto |
Human Activity Monitoring Using Fuzzified Neural Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES ![In: 17th International Conference in Knowledge Based and Intelligent Information and Engineering Systems, KES 2013, Kitakyushu, Japan, 9-11 September 2013, pp. 960-967, 2013, Elsevier. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Aminat Adebiyi, Nii Mante, Carey Zhang, Furkan E. Sahin, Gérard G. Medioni, Armand R. Tanguay, James D. Weiland |
Evaluation of feedback mechanisms for wearable visual aids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME Workshops ![In: 2013 IEEE International Conference on Multimedia and Expo Workshops, San Jose, CA, USA, July 15-19, 2013, pp. 1-6, 2013, IEEE Computer Society, 978-1-4799-1604-7. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Masaki Fujigaya, Noriaki Sakamoto, Takao Koike, Takahiro Irita, Kohei Wakahara, Tsugio Matsuyama, Keiji Hasegawa, Toshiharu Saito, Akira Fukuda, Kaname Teranishi, Kazuki Fukuoka, Noriaki Maeda, Koji Nii, Takeshi Kataoka, Toshihiro Hattori |
A 28nm High-κ metal-gate single-chip communications processor with 1.5GHz dual-core application processor and LTE/HSPA+-capable baseband processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2013 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2013, San Francisco, CA, USA, February 17-21, 2013, pp. 156-157, 2013, IEEE, 978-1-4673-4515-6. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Hideaki Nii |
Wide area projection method for active-shuttered real image autostereoscopy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Posters ![In: Special Interest Group on Computer Graphics and Interactive Techniques Conference, SIGGRAPH '13, Anaheim, CA, USA, July 21-25, 2013, Poster Proceedings, pp. 53, 2013, ACM, 978-1-4503-2342-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Yuta Ueda, Nobuhisa Hanamitsu, Yusuke Mizushina, Mina Shibasaki, Kouta Minamizawa, Hideaki Nii, Susumu Tachi |
HaptoMIRAGE: a multi-user autostereoscopic visio-haptic display. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Posters ![In: Special Interest Group on Computer Graphics and Interactive Techniques Conference, SIGGRAPH '13, Anaheim, CA, USA, July 21-25, 2013, Poster Proceedings, pp. 73, 2013, ACM, 978-1-4503-2342-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Koji Nii, Makoto Yabuuchi, Hidehiro Fujiwara, Yasumasa Tsukamoto, Yuichiro Ishii, Tetsuya Matsumura, Yoshio Matsuda |
A cost-effective 45nm 6T-SRAM reducing 50mV Vmin and 53% standby leakage with multi-Vt asymmetric halo MOS and write assist circuitry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: International Symposium on Quality Electronic Design, ISQED 2013, Santa Clara, CA, USA, March 4-6, 2013, pp. 438-441, 2013, IEEE, 978-1-4673-4951-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
23 | Yaw Adu-Gyamfi, Nii O. Attoh-Okine, Gonzalo J. Garateguy, Rafael E. Carrillo, Gonzalo R. Arce |
Multiresolution Information Mining for Pavement Crack Image Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Civ. Eng. ![In: J. Comput. Civ. Eng. 26(6), pp. 741-749, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Shusuke Yoshimoto, Takuro Amashita, Shunsuke Okumura, Koji Nii, Masahiko Yoshimoto, Hiroshi Kawaguchi 0001 |
Bit-Error and Soft-Error Resilient 7T/14T SRAM with 150-nm FD-SOI Process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 95-A(8), pp. 1359-1365, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Takuya Sawada, Taku Toshikawa, Kumpei Yoshikawa, Hidehiro Takata, Koji Nii, Makoto Nagata |
Evaluation of SRAM-Core Susceptibility against Power Supply Voltage Variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 95-C(4), pp. 586-593, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Koji Nii, Yasumasa Tsukamoto, Yuichiro Ishii, Makoto Yabuuchi, Hidehiro Fujiwara, Kazuyoshi Okamoto |
A Test Screening Method for 28 nm HK/MG Single-Port and Dual-Port SRAMs Considering with Dynamic Stability and Read/Write Disturb Issues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 21st IEEE Asian Test Symposium, ATS 2012, Niigata, Japan, November 19-22, 2012, pp. 246-251, 2012, IEEE Computer Society, 978-1-4673-4555-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Yoshinori Hirohata, Atsuko Uchinuno, Reiko Sakashita |
New feature definition for improvement of Nursing-care text classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: Proceedings of the IEEE International Conference on Systems, Man, and Cybernetics, SMC 2012, Seoul, Korea (South), October 14-17, 2012, pp. 2610-2615, 2012, IEEE, 978-1-4673-1713-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Yoshihiro Kakiuchi, Tomoya Tanaka, Kazusuke Maenaka, Kohei Higuchi |
Implementation of a intelligent system into a small physical condition monitoring device for healthcare. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: Proceedings of the IEEE International Conference on Systems, Man, and Cybernetics, SMC 2012, Seoul, Korea (South), October 14-17, 2012, pp. 2052-2057, 2012, IEEE, 978-1-4673-1713-9. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Kazuki Fukuoka, Ryo Mori, A. Kato, Motoshige Igarashi, Koji Shibutani, T. Yamaki, Shinji Tanaka, Koji Nii, Sadayuki Morita, Takao Koike, Noriaki Sakamoto |
A 123μW standby power technique with EM-tolerant 1.8V I/O NMOS power switch in 28nm HKMG technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012, San Jose, CA, USA, September 9-12, 2012, pp. 1-4, 2012, IEEE, 978-1-4673-1555-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Yoshinori Hirohata, Atsuko Uchinuno, Reiko Sakashita |
Feature Definition Using Dependency Relations between Terms for Improving Nursing-care Text Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICETET ![In: 2012 Fifth International Conference on Emerging Trends in Engineering and Technology, Himeji, Japan, November 5-7, 2012, pp. 110-115, 2012, IEEE, 978-1-4799-0276-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Yuichiro Ishii, Yasumasa Tsukamoto, Koji Nii, Hidehiro Fujiwara, Makoto Yabuuchi, Koji Tanaka, Shinji Tanaka, Yasuhisa Shimazaki |
A 28nm 360ps-access-time two-port SRAM with a time-sharing scheme to circumvent read disturbs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: 2012 IEEE International Solid-State Circuits Conference, ISSCC 2012, San Francisco, CA, USA, February 19-23, 2012, pp. 236-238, 2012, IEEE, 978-1-4673-0376-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Hidehiro Fujiwara, Makoto Yabuuchi, Yasumasa Tsukamoto, Hirofumi Nakano, Toru Owada, Hiroyuki Kawai, Koji Nii |
A stable chip-ID generating physical uncloneable function using random address errors in SRAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: IEEE 25th International SOC Conference, SOCC 2012, Niagara Falls, NY, USA, September 12-14, 2012, pp. 143-147, 2012, IEEE, 978-1-4673-1294-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Hideaki Nii, Kening Zhu, Hiromi Yoshikawa, Nyan Lin Htat, Roland Aigner, Ryohei Nakatsu |
Fuwa-Vision: an auto-stereoscopic floating-image display. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Asia Emerging Technologies ![In: SIGGRAPH Asia 2012 Emerging Technologies, Singapore, November 28 - December 1, 2012, pp. 13:1-13:4, 2012, ACM, 978-1-4503-1912-6. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Yasumasa Tsukamoto, Makoto Yabuuchi, Hidehiro Fujiwara, Koji Nii, Changhwan Shin, Tsu-Jae King Liu |
Quasi-Planar Tri-gate (QPT) bulk CMOS technology for single-port SRAM application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: Thirteenth International Symposium on Quality Electronic Design, ISQED 2012, Santa Clara, CA, USA, March 19-21, 2012, pp. 270-274, 2012, IEEE, 978-1-4673-1034-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Nimesha Ranasinghe, Ryohei Nakatsu, Hideaki Nii, Gopalakrishnakone Ponnampalam |
Tongue Mounted Interface for Digitally Actuating the Sense of Taste. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISWC ![In: 16th International Symposium on Wearable Computers, ISWC 2012, Newcastle, United Kingdom, June 18-22, 2012, pp. 80-87, 2012, IEEE Computer Society, 978-1-4673-1583-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
23 | Hiroki Noguchi, Yusuke Iguchi, Hidehiro Fujiwara, Shunsuke Okumura, Koji Nii, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto |
Design Choice in 45-nm Dual-Port SRAM - 8T, 10T Single End, and 10T Differential. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSJ Trans. Syst. LSI Des. Methodol. ![In: IPSJ Trans. Syst. LSI Des. Methodol. 4, pp. 80-90, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Yuichiro Ishii, Hidehiro Fujiwara, Shinji Tanaka, Yasumasa Tsukamoto, Koji Nii, Yuji Kihara, Kazumasa Yanagisawa |
A 28 nm Dual-Port SRAM Macro With Screening Circuitry Against Write-Read Disturb Failure Issues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 46(11), pp. 2535-2544, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Daisaku Kimura, Manabu Nii, Takafumi Yamaguchi, Yutaka Takahashi 0002, Takayuki Yumoto |
Fuzzy Nonlinear Regression Analysis Using Fuzzified Neural Networks for Fault Diagnosis of Chemical Plants. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Adv. Comput. Intell. Intell. Informatics ![In: J. Adv. Comput. Intell. Intell. Informatics 15(3), pp. 336-344, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Nimesha Ranasinghe, Adrian David Cheok, Owen Noel Newton Fernando, Hideaki Nii, Gopalakrishnakone Ponnampalam |
Electronic taste stimulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UbiComp ![In: UbiComp 2011: Ubiquitous Computing, 13th International Conference, UbiComp 2011, Beijing, China, September 17-21, 2011, Proceedings, pp. 561-562, 2011, ACM, 978-1-4503-0630-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Masato Takahashi, Charith Lasantha Fernando, Yuto Kumon, Shuhey Takeda, Hideaki Nii, Takuji Tokiwa, Maki Sugimoto, Masahiko Inami |
Earthlings Attack!: a ball game using human body communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AH ![In: Proceedings of the 2nd Augmented Human International Conference, AH 2011, Tokyo, Japan, March 13, 2011, pp. 17, 2011, ACM, 978-1-4503-0426-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Takafumi Yamaguchi, Yusuke Mori 0003, Yutaka Takahashi 0002, Atsuko Uchinuno, Reiko Sakashita |
Nursing-care text classification using additional term information from Web. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FUZZ-IEEE ![In: FUZZ-IEEE 2011, IEEE International Conference on Fuzzy Systems, Taipei, Taiwan, 27-30 June, 2011, Proceedings, pp. 1442-1446, 2011, IEEE, 978-1-4244-7315-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Yosuke Kuno, Kenichi Nii, Saneyasu Yamaguchi |
A Study on Performance of Processes in Migrating Virtual Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISADS ![In: 10th International Symposium on Autonomous Decentralized Systems, ISADS 2011, Tokyo & Hiroshima, Japan, March 23-17, 2011, pp. 567-572, 2011, IEEE Computer Society, 978-1-61284-213-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Kazuki Nakai, Yutaka Takahashi 0002, Kohei Higuchi, Kazusuke Maenaka |
Behavior extraction from multiple sensors information for human activity monitoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: Proceedings of the IEEE International Conference on Systems, Man and Cybernetics, Anchorage, Alaska, USA, October 9-12, 2011, pp. 1157-1161, 2011, IEEE, 978-1-4577-0652-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Yukiko Umemoto, Koji Nii, Jiro Ishikawa, Kazuyoshi Okamoto, Kazutaka Mori, Kazumasa Yanagisawa |
A 28 nm 50% power reduced 2T mask ROM with 0.72 ns read access time using column source bias. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: 2011 IEEE Custom Integrated Circuits Conference, CICC 2011, San Jose, CA, USA, Sept. 19-21, 2011, pp. 1-4, 2011, IEEE, 978-1-4577-0222-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Yasumasa Tsukamoto, Takeshi Kida, T. Yamaki, Yuichiro Ishii, Koji Nii, Koji Tanaka, Shinji Tanaka, Yuji Kihara |
Dynamic stability in minimum operating voltage Vmin for single-port and dual-port SRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: 2011 IEEE Custom Integrated Circuits Conference, CICC 2011, San Jose, CA, USA, Sept. 19-21, 2011, pp. 1-4, 2011, IEEE, 978-1-4577-0222-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Kazuki Nakai, Yutaka Takahashi 0002 |
Extraction of human behavior from human activity monitoring data using MEMS sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RiiSS ![In: 2013 IEEE Workshop on Robotic Intelligence In Informationally Structured Space, RiiSS 2013, Singapore, April 16-19, 2013, pp. 7-11, 2011, IEEE, 978-1-4673-5877-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Kening Zhu, Hideaki Nii, Owen Noel Newton Fernando, Adrian David Cheok |
Selective inductive powering system for paper computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advances in Computer Entertainment Technology ![In: Proceedings of the 8th International Conference on Advances in Computer Entertainment Technology, ACE 2011, Lisbon, Portugal, November 8-11, 2011, pp. 59, 2011, ACM, 978-1-4503-0827-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Tomoya Tanaka, Koji Sonoda, Sayaka Okochi, Alex Chun Kit Chan, Manabu Nii, Kensuke Kanda, Takayuki Fujita, Kohei Higuchi, Kazusuke Maenaka |
Wearable Health Monitoring System and Its Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICETET ![In: 4th International Conference on Emerging Trends in Engineering and Technology, ICETET 2011, Mauritius, November 18-20, 2011, pp. 143-146, 2011, IEEE Computer Society, 978-0-7695-4561-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Manabu Nii, Kazuki Nakai, Yutaka Takahashi 0002, Kohei Higuchi, Kazusuke Maenaka |
A Human State Estimation Method Using Fuzzy Based System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICETET ![In: 4th International Conference on Emerging Trends in Engineering and Technology, ICETET 2011, Mauritius, November 18-20, 2011, pp. 151-155, 2011, IEEE Computer Society, 978-0-7695-4561-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Makoto Yabuuchi, Yasumasa Tsukamoto, Hidehiro Fujiwara, Shigeki Tawa, Koji Maekawa, Motoshige Igarashi, Koji Nii |
A dynamic body-biased SRAM with asymmetric halo implant MOSFETs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011, pp. 285-290, 2011, IEEE/ACM, 978-1-61284-660-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP BibTeX RDF |
|
23 | Nimesha Ranasinghe, Adrian David Cheok, Hideaki Nii, Owen Noel Newton Fernando, Gopalakrishnakone Ponnampalam |
Digital taste for remote multisensory interactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIST (Adjunct Volume) ![In: Proceedings of the 24th Annual ACM Symposium on User Interface Software and Technology, Santa Barbara, CA, USA, October 16-19, 2011 - Adjunct Volume, pp. 79-80, 2011, ACM, 978-1-4503-1014-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Nimesha Ranasinghe, Adrian David Cheok, Hideaki Nii, Owen Noel Newton Fernando, Gopalakrishnakone Ponnampalam |
Digital taste interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIST (Adjunct Volume) ![In: Proceedings of the 24th Annual ACM Symposium on User Interface Software and Technology, Santa Barbara, CA, USA, October 16-19, 2011 - Adjunct Volume, pp. 11-12, 2011, ACM, 978-1-4503-1014-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Kening Zhu, Hideaki Nii, Owen Noel Newton Fernando, Adrian David Cheok |
E-letter: a paper-based instant messaging system using selective wireless power transfer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Posters ![In: International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 2011, Vancouver, BC, Canada, August 7-11, 2011 , Poster Proceedings, pp. 43, 2011, ACM, 978-1-4503-0971-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Michael Haller, Christoph Richter, Peter Brandl, Sabine Gross, Gerold Schossleitner, Andreas Schrempf, Hideaki Nii, Maki Sugimoto, Masahiko Inami |
Finding the Right Way for Interrupting People Improving Their Sitting Posture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INTERACT (2) ![In: Human-Computer Interaction - INTERACT 2011 - 13th IFIP TC 13 International Conference, Lisbon, Portugal, September 5-9, 2011, Proceedings, Part II, pp. 1-17, 2011, Springer, 978-3-642-23770-6. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Toshiyuki Ito, Tatsuya Tsukada, Susumu Sato, Shinsuke Nii, Ryuichi Yokoyama |
Improvement of islanded operating stability for lean-burn gas engine by effective use of power storage device. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SmartGridComm ![In: IEEE Second International Conference on Smart Grid Communications, SmartGridComm 2011, Brussels, Belgium, October 17-20, 2011, pp. 493-498, 2011, IEEE, 978-1-4577-1704-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Kening Zhu, Hideaki Nii, Owen Noel Newton Fernando, Adrian David Cheok |
Selective Inductive Powering in Hardware-Based Paper Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AmI ![In: Ambient Intelligence - Second International Joint Conference on AmI 2011, Amsterdam, The Netherlands, November 16-18, 2011. Proceedings, pp. 340-344, 2011, Springer, 978-3-642-25166-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Nimesha Ranasinghe, Adrian David Cheok, Owen Noel Newton Fernando, Hideaki Nii, Gopalakrishnakone Ponnampalam |
Digital Taste: Electronic Stimulation of Taste Sensations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AmI ![In: Ambient Intelligence - Second International Joint Conference on AmI 2011, Amsterdam, The Netherlands, November 16-18, 2011. Proceedings, pp. 345-349, 2011, Springer, 978-3-642-25166-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Nimesha Ranasinghe, Kasun Karunanayaka, Adrian David Cheok, Owen Noel Newton Fernando, Hideaki Nii, Gopalakrishnakone Ponnampalam |
Digital taste & smell for remote multisensory interactions: poster abstract. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BODYNETS ![In: Proceedings of the 6th International Conference on Body Area Networks, Beijing, China, November 7-10, 2011, pp. 128-129, 2011, ACM, 978-1-936968-29-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Nimesha Ranasinghe, Kasun Karunanayaka, Adrian David Cheok, Owen Noel Newton Fernando, Hideaki Nii, Gopalakrishnakone Ponnampalam |
Digital taste and smell communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BODYNETS ![In: Proceedings of the 6th International Conference on Body Area Networks, Beijing, China, November 7-10, 2011, pp. 78-84, 2011, ACM, 978-1-936968-29-9. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
23 | Nii-Adotei Parker-Allotey, Olayiwola Alatise, Dean Hamilton, Michael R. Jennings, Phil Mawby, Rob Nash, Rob Magill |
Conduction and switching loss comparison between an IGBT/Si-PiN diode pair and an IGBT/SiC-Schottky diode pair. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISGT Europe ![In: 2nd IEEE PES International Conference and Exhibition on `Innovative Smart Grid Technologies`, ISGT Europe 2011, Manchester, United Kingdom, December 5-7, 2011, pp. 1-6, 2011, IEEE, 978-1-4577-1422-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
Displaying result #301 - #400 of 629 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ >>] |
|