The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLIW with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1989 (16) 1990-1991 (18) 1992-1993 (31) 1994 (15) 1995-1996 (31) 1997 (30) 1998 (24) 1999 (38) 2000 (54) 2001 (53) 2002 (67) 2003 (58) 2004 (61) 2005 (88) 2006 (71) 2007 (84) 2008 (55) 2009 (30) 2010 (25) 2011 (27) 2012 (31) 2013 (25) 2014 (29) 2015 (21) 2016 (21) 2017 (26) 2018-2019 (26) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(258) book(2) incollection(4) inproceedings(786) phdthesis(26)
Venues (Conferences, Journals, ...)
MICRO(44) DATE(38) ICCD(24) DAC(22) ASAP(21) CASES(19) IEEE Trans. Computers(17) IEEE Trans. Very Large Scale I...(15) IPDPS(15) ASP-DAC(14) ISSS(14) J. Signal Process. Syst.(13) VLSI Design(13) Euro-Par(12) IEEE PACT(12) ISCAS(12) More (+10 of total 312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 908 occurrences of 401 keywords

Results
Found 1076 publication records. Showing 1076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Michael J. Flynn What's ahead in computer design? Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF die area improvement, very high level superscalar processors, very large cache, pin bandwidth, processor complexity, scalability, multiprocessors, logic design, instruction level parallelism, VLIW, CMOS technology, lithography, cycle time, computer design, silicon area, cache size
23Minjoong Rim, Rajiv Jain Valid Transformations: A New Class of Loop Transformations for High-Level Synthesis and Pipelined Scheduling Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF super-scalar, loop compilation, High-level synthesis, VLIW, loop transformations, loop optimization, pipeline scheduling
23Jian Wang, Guang R. Gao Pipelining-Dovetailing: A Transformation to Enhance Software Pipelining for Nested Loops. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Very Long Instruction Word(VLIW), Instruction-Level Parallelism, Software Pipelining, Superscalar, Nested Loop, Loop Scheduling, Fine-Grain Parallelism
23Toshio Nakatani, Kemal Ebcioglu Making Compaction-Based Parallelization Affordable. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF compaction-based parallelization, code explosion problem, software lookahead heuristic, VLIW parallelizing compiler, branch-intensive code, AIX utilities, fgrep, sed, parallel programming, parallel architectures, compress, program, sort, instruction-level parallelism, software pipelining, pipeline processing, instruction sets, loop parallelization, yacc
18Mei Wen, Nan Wu 0003, Maolin Guan, Chunyuan Zhang Load scheduling: Reducing pressure on distributed register files for free. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Shih-Hao Ou, Yi Cho, Tay-Jyi Lin, Chih-Wei Liu Improving datapathutilization of programmable DSP with composite functional units. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Li-Chun Lin, Shih-Hao Ou, Tay-Jyi Lin, Siang-Den Deng, Chih-Wei Liu Single-Issue 1500MIPS Embedded DSP with Ultra Compact Codes. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Micha Nelissen, Kees van Berkel 0001, Sergei Sawitzki Mapping A VLIWxSIMD Processor on an FPGA: Scalability and Performance. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Nazish Aslam, Mark Milward, Ioannis Nousias, Tughrul Arslan, Ahmet T. Erdogan Code Compression and Decompression for Instruction Cell Based Reconfigurable Systems. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hyojin Choi, Wonchul Lee, Wonyong Sung Memory Access Reduced Software Implementation of H.264/AVC Sub-pixel Motion Estimation Using Differential Data Encoding. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ashish Mathur, Sourav Roy, Rajat Bhatia, Arup Chakraborty, Vijay Bhargava, Jatin Bhartia JouleQuest: An Accurate Power Model for the StarCore DSP Platform. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Bertrand A. Maher, Aaron Smith, Doug Burger, Kathryn S. McKinley Merging Head and Tail Duplication for Convergent Hyperblock Formation. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hai-Chen Wang, Chung-Kwong Yuen Exploiting dataflow to extract Java instruction level parallelism on a tag-based multi-issue semi in-order (TMSI) processor. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Wei-Chun Ku, Shu-Hsuan Chou, Jui-Chin Chu, Chih-Heng Kang, Tien-Fu Chen, Jiun-In Guo Collaborative Multithreading: An Open Scalable Processor Architecture for Embedded Multimedia Applications. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Dara Kusic, Raymond Hoare, Alex K. Jones, Joshua Fazekas, John Foster 0001 Extracting Speedup From C-Code With Poor Instruction-Level Parallelism. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Murali Jayapala, Tom Vander Aa, Francisco Barat, Francky Catthoor, Henk Corporaal, Geert Deconinck L0 Cluster Synthesis and Operation Shuffling. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Steffen Köhler, Jens Braunes, Thomas Preußer, Martin Zabel, Rainer G. Spallek Increasing ILP of RISC Microprocessors Through Control-Flow Based Reconfiguration. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Sanjive Agarwala, Paul Wiley, Arjun Rajagopal, Anthony M. Hill, Raguram Damodaran, Lewis Nardini, Tim Anderson, Steven Mullinnix, Jose Flores, Heping Yue, Abhijeet Chachad, John Apostol, Kyle Castille, Usha Narasimha, Tod Wolf, N. S. Nagaraj, Manjeri Krishnan, Luong Nguyen, Todd Kroeger, Mike Gill, Peter Groves, Bill Webster, Joel Graber, Christine Karlovich A 800 MHz System-on-Chip for Wireless Infrastructure Applications. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Bogong Su, Jian Wang 0046, Erh-Wen Hu, Joseph B. Manzano Software De-Pipelining Technique. Search on Bibsonomy SCAM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jung Ho Ahn, William J. Dally, Brucek Khailany, Ujval J. Kapasi, Abhishek Das Evaluating the Imagine Stream Architecture. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jürgen Schnerr, Gunter Haug, Wolfgang Rosenstiel Instruction Set Emulation for Rapid Prototyping of SoCs . Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF enhanced pipeline scheduling, unrolling, modulo variable expansion, iterated coalescing, register allocation, Software pipelining, modulo scheduling, renaming, coalescing
18Norbert A. Pilz, Kenneth Adamson Code Optimization Techniques of Data-Intensive Tasks onto Statically Scheduled Architectures: Optimal Performance on the TigerSharc. Search on Bibsonomy PARA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Heiko Michel, Alexander Worm, Norbert Wehn, Michael Münch Hardware/Software Trade-Offs for Advanced 3G Channel Coding. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Walter Lee, Diego Puppin, Shane Swenson, Saman P. Amarasinghe Convergent scheduling. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Weiping Liao, Joseph M. Basile, Lei He 0001 Leakage power modeling and reduction with data retention. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Zhining Huang, Sharad Malik Exploiting operation level parallelism through dynamically reconfigurable datapaths. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Jan Hoogerbrugge, Lex Augusteijn Pipelined Java Virtual Machine Interpreters. Search on Bibsonomy CC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Bruce Schulman, Gerald G. Pechanek A 90k Gate "CLB" for Parallel Distributed Computing. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-based register coalescing. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Mark Oskin, Diana Keen, Justin Hensley, Lucian Vlad Lita, Frederic T. Chong Reducing Cost and Tolerating Defects in Page-based Intelligent Memory. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Marcio Merino Fernandes, Josep Llosa, Nigel P. Topham Distributed Modulo Scheduling. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Gerben J. Hekstra, G. D. La Hei, Peter Bingley, Frans Sijstermans TriMedia CPU64 Design Space Exploration. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Han-Saem Yun Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Sung-Soo Lim, Jihong Kim 0001, Sang Lyul Min A Worst Case Timing Analysis Technique for Optimized Programs. Search on Bibsonomy RTCSA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF real-time systems, compiler optimization, worst case execution time
18Ravi Nair, Martin E. Hopkins Exploiting Instruction Level Parallelism in Processors by Caching Scheduled Groups. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Mark G. Stoodley, Corinna G. Lee Software Pipelining Loops with Conditional Branches. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Adrian Slowik, Georg Piepenbrock, Peter Pfahler Compiling Nested Loops for Limited Connectivity VLIWs. Search on Bibsonomy CC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Gabriel M. Silberman, Kemal Ebcioglu An architectural framework for migration from CISC to higher performance platforms. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18James C. Dehnert, Peter Y.-T. Hsu, Joseph P. Bratt Overlapped Loop Support in the Cydra 5. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Cydra 5
18David M. Lewis A Programmable Hardware Accelerator for Compiled Electrical Simulation. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
16Samuel D. Spetalnick, Ashwin Sanjay Lele, Brian Crafton, Muya Chang, Sigang Ryu, Jong-Hyeok Yoon, Zhijian Hao, Azadeh Ansari, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury 30.1 A 40nm VLIW Edge Accelerator with 5MB of 0.256pJ/b RRAM and a Localization Solver for Bristle Robot Surveillance. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Lucas Ferreira, Steffen Malkowsky, Patrik Persson, Sven Karlsson, Kalle Åström, Liang Liu 0002 Design of an Application-specific VLIW Vector Processor for ORB Feature Extraction. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Hao Yu, Jun Wu, Haoqi Ren, Zhifeng Zhang, Bin Tan An Efficient and Secure Inline Assembly Design for VLIW DSP. Search on Bibsonomy ICCT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Xin Xiao, Zhong Liu ISADL: An Instruction Set Architecture Description Language for VLIW. Search on Bibsonomy ICPADS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Xin Xiao, Zhong Liu An Adaptive Instruction Set Encoding Automatic Generation Method for VLIW. Search on Bibsonomy ICA3PP (1) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Mohamed Najoui, Mounir Bahtat, Abdessamad Klilou, Anas Hatim, Said Belkouch, Atman Jbari, Noureddine Chabini Ultra-fast and efficient implementation schemes of complex matrix multiplication algorithm for VLIW architectures. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys Dynamic fault-tolerant VLIW processor with heterogeneous Function Units. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Can Deng, Zhaoyun Chen, Yang Shi, Xichang Kong, Mei Wen Exploring ILP for VLIW Architecture by Quantified Modeling and Dynamic Programming-Based Instruction Scheduling. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Chia-Heng Hu, I-Hao Tseng, Pei-Hsuan Kuo, Juinn-Dar Huang An SoC Integration Ready VLIW-Driven CNN Accelerator with High Utilization and Scalability. Search on Bibsonomy AICAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Ashish Shrivastava, Alan Gatherer, Tong Sun, Sushma Wokhlu, Alex Chandra SLAP: A Split Latency Adaptive VLIW pipeline architecture which enables on-the-fly variable SIMD vector-length. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
16Ashish Shrivastava, Alan Gatherer, Tong Sun, Sushma Wokhlu, Alex Chandra SLAP: a Split Latency Adaptive VLIW Pipeline Architecture Which Enables on-The-Fly Variable SIMD Vector-Length. Search on Bibsonomy ICASSP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Aiqing Wu, Mengni Bie, Longmei Nan, Wei Li 0131 Effective Register Allocation for Configurable VLIW Crypto-Processor. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Cyril Six Compilation optimisante et formellement prouvée pour un processeur VLIW. Search on Bibsonomy 2021   RDF
16Cyril Six, Sylvain Boulmé, David Monniaux Certified and efficient instruction scheduling: application to interlocked VLIW processors. Search on Bibsonomy Proc. ACM Program. Lang. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Gongli Li, Yingying Hou, Junzhe Zhu An Efficient and Fast VLIW Compression Scheme for Stream Processor. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Mohamed Najoui, Anas Hatim, Said Belkouch, Noureddine Chabini Novel Implementation Approach with Enhanced Memory Access Performance of MGS Algorithm for VLIW Architecture. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Florian Giesemann, Lukas Gerlach 0001, Guillermo Payá Vayá Evolutionary Algorithms for Instruction Scheduling, Operation Merging, and Register Allocation in VLIW Compilers. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16David Uzan, Roger Kahn, Shlomo Weiss Perceptron based filtering of futile prefetches in embedded VLIW DSPs. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Rama Venkatasubramanian, Don Steiss, Greg Shurtz, Tim Anderson, Kai Chirca, Raghavendra Santhanagopal, Niraj Nandan, Anish Reghunath, Hetul Sanghvi, Daniel Wu, Abhijeet Chachad, Brian Karguth, Denis Beaudoin, Charles Fuoco, Lewis Nardini, Chunhua Hu, Sam Visalli, Amrit Mundra, Devanathan Varadarajan, Frank Cano, Shane Stelmach, Mihir Mody, Arthur Redfern, Haydar Bilhan, Maher Sarraj, Ali Siddiki, Anthony Lell, Eldad Falik, Anthony M. Hill, Abhinay Armstrong, Todd Beck, Vijay Kanumuri, Steven Mullinnix, Darnell Moore, Jason Jones, Manoj Koul, Sanjive Agarwala 2.6 A 16nm 3.5B+ Transistor >14TOPS 2-to-10W Multicore SoC Platform for Automotive and Embedded Applications with Integrated Safety MCU, 512b Vector VLIW DSP, Embedded Vision and Imaging Acceleration. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Adrian Florea, Teodora Vasilas Optimizing the Integration Area and Performance of VLIW Architectures by Hardware/Software Co-design. Search on Bibsonomy MDIS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Lukas Gerlach 0001, Fabian Stuckmann, Holger Blume, Guillermo Payá Vayá Issue-Slot Based Predication Encoding Technique for VLIW Processors. Search on Bibsonomy MOCAST The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Ricardo S. Ferreira 0001, Cristoferson Bueno, Marcone Laure, Monica Magalhães Pereira, Luigi Carro A Dynamic Reconfigurable Super-VLIW Architecture for a Fault Tolerant Nanoscale Design. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Sensen Hu, Jing Huang Exploring Adaptive Cache for Reconfigurable VLIW Processor. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Yumin Hou, Xu Wang, Jiawei Fu, Junping Ma, Hu He 0001, Xu Yang 0003 Improving ILP via Fused In-Order Superscalar and VLIW Instruction Dispatch Methods. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Simon Rokicki, Erven Rohou, Steven Derrien Hybrid-DBT: Hardware/Software Dynamic Binary Translation Targeting VLIW. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Elena Limonova, N. A. Bocharov, N. B. Paramonov, D. S. Bogdanov, Vladimir V. Arlazarov, O. A. Slavin, Dmitry P. Nikolaev Performance Evaluation of a Recognition System on the VLIW Architecture by the Example of the Elbrus Platform. Search on Bibsonomy Program. Comput. Softw. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Keni Qiu, Yujie Zhu, Yuanchao Xu 0002, Qirun Huo, Chun Jason Xue BRLoop: Constructing balanced retimed loop to architect STT-RAM-based hybrid cache for VLIW processors. Search on Bibsonomy Microelectron. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Binbin Liu, Qilong Zheng Realize special instructions on clustering VLIW DSP: multiplication-accumulation instruction. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Andreas Bytyn, Rainer Leupers, Gerd Ascheid An Application-Specific VLIW Processor with Vector Instruction Set for CNN Acceleration. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Paras Jain 0001, Xiangxi Mo, Ajay Jain, Alexey Tumanov, Joseph E. Gonzalez, Ion Stoica The OoO VLIW JIT Compiler for GPU Inference. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
16Xuesong Su, Hui Wu 0001, Jingling Xue WCET-aware hyper-block construction for clustered VLIW processors. Search on Bibsonomy LCTES The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys Fine-Grained Hardware Mitigation for Multiple Long-Duration Transients on VLIW Function Units. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Andreas Bytyn, Rainer Leupers, Gerd Ascheid An Application-Specific VLIW Processor with Vector Instruction Set for CNN Acceleration. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys, Emmanuel Casseau Run-Time Coarse-Grained Hardware Mitigation for Multiple Faults on VLIW Processors. Search on Bibsonomy DASIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Dilshan Kumarathunga, Omega Gamage, Asitha Samarasinghe, Nipuna Saranga, Ranga Rodrigo, Ajith A. Pasqual VLIW Based Runtime Reconfigurable Machine Vision Coprocessor Architecture for Edge Computing. Search on Bibsonomy ASAP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Anderson Luiz Sartor, Pedro Henrique Exenberger Becker, Joost Hoozemans, Stephan Wong, Antonio C. S. Beck Dynamic Trade-off among Fault Tolerance, Energy Consumption, and Performance on a Multiple-Issue VLIW Processor. Search on Bibsonomy IEEE Trans. Multi Scale Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Hong Ye, Naijie Gu, Xiaoci Zhang, Chuanwen Lin Design and implementation of a conflict-free memory accessing technique for FFT on multicluster VLIW DSP. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Joost Hoozemans, Jeroen van Straten, Stephan Wong Increasing resource utilization in mixed-criticality systems using a polymorphic VLIW processor. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Elena Limonova, Natalya Skoryukina, Murad I. Neiman-zade Fast Hamming distance computation for 2D art recognition on VLIW-architecture in case of Elbrus platform. Search on Bibsonomy ICMV The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Marco Spaziani Brunella, Salvatore Pontarelli, Marco Bonola, Giuseppe Bianchi 0001 V- PMP: A VLIW Packet Manipulator Processor. Search on Bibsonomy EuCNC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Guillermo Talavera, Antoni Portero, Francky Catthoor Impact of Address Generation on Multimedia Embedded VLIW Processors. Search on Bibsonomy CISIM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Anderson Luiz Sartor, Arthur Francisco Lorenzon, Sandip Kundu, Israel Koren, Antonio C. S. Beck Adaptive and polymorphic VLIW processor to optimize fault tolerance, energy consumption, and performance. Search on Bibsonomy CF The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Vladimir V. Stegailov, Alexey Timofeev Deploying Elbrus VLIW CPU Ecosystem for Materials Science Calculations: Performance and Problems. Search on Bibsonomy RuSCDays The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Miroslav N. Velev Survey of Techniques for Efficient Solving of Boolean Formulas from Formal Verification of Pipelined, Superscalar, and VLIW Microprocessors at a High Level of Abstraction. Search on Bibsonomy ISAIM The full citation details ... 2018 DBLP  BibTeX  RDF
16Joost Hoozemans Targeting static and dynamic workloads with a reconfigurable VLIW processor. Search on Bibsonomy 2018   RDF
16Xuesong Su WCET-aware compilation techniques for clustered VLIW processors. Search on Bibsonomy 2018   RDF
16Renan Augusto Starke, Andreu Carminati, Rômulo Silva de Oliveira Evaluation of a low overhead predication system for a deterministic VLIW architecture targeting real-time applications. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Roel Jordans, Lech Józwiak, Henk Corporaal, Rosilde Corvino Automatic instruction-set architecture synthesis for VLIW processor cores in the ASAM project. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Jingchuan Dong, Taiyong Wang, Bo Li, Zhe Liu, Zhiqiang Yu An FPGA-based low-cost VLIW floating-point processor for CNC applications. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Mohamed Najoui, Mounir Bahtat, Anas Hatim, Said Belkouch, Noureddine Chabini VLIW DSP-Based Low-Level Instruction Scheme of Givens QR Decomposition for Real-Time Processing. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Luc Michel, Frédéric Pétrot Dynamic Binary Translation of VLIW Codes on Scalar Architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Xuesong Su, Hui Wu 0001, Jingling Xue An Efficient WCET-Aware Instruction Scheduling and Register Allocation Approach for Clustered VLIW Processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Jukka Teittinen, Markus Hiienkari, Indre Zliobaite, Jaakko Hollmén, Heikki Berg, Juha Heiskala, Timo Viitanen, Jesse Simonsson, Lauri Koskinen A 5.3 pJ/op approximate TTA VLIW tailored for machine learning. Search on Bibsonomy Microelectron. J. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Hu He 0001, Xu Yang 0003, Yanjun Zhang On Improving Performance and Energy Efficiency for Register-File Connected Clustered VLIW Architectures for Embedded System Usage. Search on Bibsonomy Comput. J. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Paolo Meloni, Claudio Rubattu, Giuseppe Tuveri, Danilo Pani, Luigi Raffo, Francesca Palumbo Real-Time neural signal decoding on heterogeneous MPSocs based on VLIW ASIPs. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Rafail Psiakis, Angeliki Kritikakou, Olivier Sentieys NEDA: NOP Exploitation with Dependency Awareness for Reliable VLIW Processors. Search on Bibsonomy ISVLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Debjyoti Bhattacharjee, Rajeswari Devadoss, Anupam Chattopadhyay ReVAMP: ReRAM based VLIW architecture for in-memory computing. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Omayma Matoussi, Frédéric Pétrot Modeling instruction cache and instruction buffer for performance estimation of VLIW architectures using native simulation. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Marcel Brand, Frank Hannig, Alexandru Tanase, Jürgen Teich Orthogonal Instruction Processing: An Alternative to Lightweight VLIW Processors. Search on Bibsonomy MCSoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license