The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for adder with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1965 (16) 1966-1978 (17) 1979-1985 (18) 1986-1988 (22) 1989-1990 (18) 1991-1992 (17) 1993 (18) 1994-1995 (37) 1996 (28) 1997 (35) 1998 (34) 1999 (51) 2000 (29) 2001 (59) 2002 (63) 2003 (88) 2004 (70) 2005 (112) 2006 (121) 2007 (110) 2008 (107) 2009 (60) 2010 (49) 2011 (54) 2012 (52) 2013 (56) 2014 (63) 2015 (85) 2016 (69) 2017 (83) 2018 (77) 2019 (83) 2020 (94) 2021 (86) 2022 (68) 2023 (93) 2024 (15)
Publication types (Num. hits)
article(887) inproceedings(1269) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 786 occurrences of 438 keywords

Results
Found 2158 publication records. Showing 2157 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Masashi Hashimoto Adder Merged DRAM Architecture. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Oscar Gustafsson, Andrew G. Dempster, Lars Wanhammar Extended results for minimum-adder constant integer multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Ayman A. Fayed, Magdy A. Bayoumi Noise-tolerant design and analysis for a low-voltage dynamic full adder cell. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Gunok Jung, V. A. Sundarajan, Gerald E. Sobelman A robust self-resetting CMOS 32-bit parallel adder. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Satoshi Sakaidani, Naoto Miyamoto, Tadahiro Ohmi Flexible processor based on full-adder/ d-flip-flop merged module. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Chua-Chin Wang, Po-Ming Lee, Rong-Chin Lee, Chenn-Jung Huang A 1.25 GHz 32-bit tree-structured carry lookahead adder. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Phillip Benachour, Patrick Guy Farrell, Bahram Honary A Line Code Construction for the Adder Channel with Rates Higher than Time-Sharing. Search on Bibsonomy IMACC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Hak-soo Yu, Songjun Lee, Jacob A. Abraham An Adder Using Charge Sharing and its Application in DRAMs. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21David M. Russinoff A Case Study in Fomal Verification of Register-Transfer Logic with ACL2: The Floating Point Adder of the AMD AthlonTM Processor. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21J. V. Tran, Farnaz Mounes-Toussi, S. N. Storino, D. L. Stasiak SOI Implementation of a 64-Bit Adder. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Taewhan Kim, William Jao, Steven W. K. Tjiang Circuit optimization using carry-save-adder cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Alejandro F. González, Pinaki Mazumder Compact Signed-Digit Adder Using Multiple-Valued Logic. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Dietmar Fey Transformation of a 2-D VLSI Systolic Adder Circuit in 3-D Circuits Using Optical Interconnections. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Stuart F. Oberman, Michael J. Flynn A Variable Latency Pipelined Floating-Point Adder. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Hamed F. Dadgour, Muhammad Mustafa Hussain, Kaustav Banerjee A new paradigm in the design of energy-efficient digital circuits using laterally-actuated double-gate NEMs. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Boolean logic minimization, energy-efficient electronics, laterally-actuated NEMS, nanoelectromechanical switches, XOR gates
16Faizal Karim, Marco Ottavi, Hamidreza Hashempour, Vamsi Vankamamidi, Konrad Walus, André Ivanov, Fabrizio Lombardi Modeling and Evaluating Errors Due to Random Clock Shifts in Quantum-Dot Cellular Automata Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Quantum-dot cellular automata (QCA), Clocked QCA, Emerging nanotechnologies, Phase shift
16Yee Jern Chong, Sri Parameswaran Flexible multi-mode embedded floating-point unit for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual-precision, embedded block, fpu, fpga, floating-point, fpga architecture
16Krishna K. Nagar, Yan Zhang, Jason D. Bakos An integrated reduction technique for a double precision accumulator. Search on Bibsonomy HPRCTA@SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high-performance computing, reconfigurable computing, scientific computing, reduction, accumulator, IEEE 754, double precision
16Mark Whitney, Nemanja Isailovic, Yatish Patel, John Kubiatowicz A fault tolerant, area efficient architecture for Shor's factoring algorithm. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ion trap, control, quantum computing, layout, cad
16Eric Quinnell, Earl E. Swartzlander Jr., Carl Lemonds Bridge Floating-Point Fused Multiply-Add Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16I-Chyn Wey, You-Gang Chen, An-Yeu Wu Design and Analysis of Isolated Noise-Tolerant (INT) Technique in Dynamic CMOS Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi 0001 A Systematic Approach for Designing Redundant Arithmetic Adders Based on Counter Tree Diagrams. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Omid Sarbishei, Bijan Alizadeh, Masahiro Fujita Arithmetic Circuits Verification without Looking for Internal Equivalences. Search on Bibsonomy MEMOCODE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Haridimos T. Vergos, Dimitris Bakalis On the Use of Diminished-1 Adders for Weighted Modulo 2n + 1 Arithmetic Components. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Shai Erez, Guy Even An improved micro-architecture for function approximation using piecewise quadratic interpolation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Chong-Yu Huang, Lien-Fei Chen, Yeong-Kang Lai A high-speed 2-D transform architecture with unique kernel for multi-standard video applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Anas A. Hamoui, Mohammad Sukhon, Franco Maloberti Digitally-enhanced 2nd-order DeltaSigma modulator with unity-gain signal transfer function. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Bipul Chandra Paul, Shinobu Fujita, Masaki Okajima ROM based logic (RBL) design: High-performance and low-power adders. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Álvaro Vázquez, Elisardo Antelo New insights on Ling adders. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Tae Ho Kim, Sang Chul Kim, Chang Hoon Kim, Chun Pyo Hong Scalable Montgomery Multiplier for Finite Fields GF(p) and GF(2^m). Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-Precision CSA, Scalable Multiplier, VLSI, Montgomery Multiplication
16Sabyasachi Das, Sunil P. Khatri A Merged Synthesis Technique for Fast Arithmetic Blocks Involving Sum-of-Products and Shifters. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Sundeepkumar Agarwal, Pavankumar V. K., Yokesh R. Energy-Efficient, High Performance Circuits for Arithmetic Units. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Tad Hogg, Greg Snider Defect-tolerant Logic with Nanoscale Crossbar Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault modeling, nanotechnology, molecular electronics, circuit reliability
16Yuko Hara, Hiroyuki Tomiyama, Shinya Honda, Hiroaki Takada, Katsuya Ishii Behavioral Synthesis of Double-Precision Floating-Point Adders with Function-Level Transformations: A Case Study. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Akashi Satoh, Takeshi Sugawara 0001, Takafumi Aoki High-Speed Pipelined Hardware Architecture for Galois Counter Mode. Search on Bibsonomy ISC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Henning Gundersen, Yngvar Berg Fast Addition Using Balanced Ternary Counters Designed with CMOS Semi-Floating Gate Devices. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Rahul Jain 0004, Preeti Ranjan Panda An Efficient Pipelined VLSI Architecture for Lifting-Based 2D-Discrete Wavelet Transform. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Shun Li, Feng Zhou, Chunhong Chen, Hua Chen, Yipin Wu Quasi-Static Energy Recovery Logic with Single Power-Clock Supply. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Zhiyu Liu, Volkan Kursun Charge Recycling MTCMOS for Low Energy Active/Sleep Mode Transitions. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Akashi Satoh High-Speed Parallel Hardware Architecture for Galois Counter Mode. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16S. Vijay, A. Prasad Vinod 0001, Edmund Ming-Kit Lai A Greedy Common Subexpression Elimination Algorithm for Implementing FIR Filters. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Zhiyu Liu, Volkan Kursun Charge Recycling Between Virtual Power and Ground Lines for Low Energy MTCMOS. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-threshold voltage CMOS, gated power, gated ground, sleep switch, subthreshold leakage, charge recycling
16George Xenoulis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Testability Analysis and Scalable Test Generation for High-Speed Floating-Point Units. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF testability conditions, datapath testing, floating-point unit testing, Test generation, processor testing
16Gian Carlo Cardarilli, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano Fault Localization, Error Correction, and Graceful Degradation in Radix 2 Signed Digit-Based Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fault tolerance, error checking, high-speed arithmetic
16William N. N. Hung, Xiaoyu Song, Guowu Yang, Jin Yang 0006, Marek A. Perkowski Optimal synthesis of multiple output Boolean functions using a set of quantum gates by symbolic reachability analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ghassem Jaberipur, Behrooz Parhami, Mohammad Ghodsi An Efficient Universal Addition Scheme for All Hybrid-Redundant Representations with Weighted Bit-Set Encoding. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF (4,2)-compressor, digit set, signed digit, computer arithmetic, redundant number system, carry-free addition
16Ji-Yong Jeong, Gil-Su Kim, Jong-Pil Son, Woo-Jin Rim, Soo-Won Kim Body Bias Generator for Leakage Power Reduction of Low-Voltage Digital Logic Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16K. Scott Hemmert, Keith D. Underwood Open Source High Performance Floating-Point Modules. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IEEE floating point, FPGA, reconfigurable computing
16Kwangsup So, Jin-Sang Kim, Won-Kyung Cho, Young Soo Kim, Doug Young Suh Implementation of Inner Product Architecture for Increased Flexibility in Bitwidths of Input Array. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano Fault tolerant design of signed digit based FIR filters. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ravi Kumar Satzoda, Chip-Hong Chang A fast kernel for unifying GF(p) and GF(2m) Montgomery multiplications in a scalable pipelined architecture. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Petros Oikonomakos, Paul Fox Error Correction in Arithmetic Operations by I/O Inversion. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Daniel Marienfeld, Egor S. Sogomonyan, Vitalij Ocheretnij, Michael Gössel A New Self-Checking and Code-Disjoint Non-Restoring Array Divider. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Himanshu Thapliyal, Saurabh Kotiyal, M. B. Srinivas Novel BCD Adders and Their Reversible Logic Implementation for IEEE 754r Format. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Uwe Meyer-Bäse, Jiajia Chen 0002, Chip-Hong Chang, Andrew G. Dempster A Comparison of Pipelined RAG-n and DA FPGA-based Multiplierless Filters. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Shibu Menon, Chip-Hong Chang A Reconfigurable Multi-Modulus Modulo Multiplier. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Robert D. Kenney, Michael J. Schulte High-Speed Multioperand Decimal Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multioperand adders, Computer arithmetic, hardware designs, decimal arithmetic
16Shugang Wei Number conversions between RNS and mixed-radix number system based on Modulo (2p - 1) signed-digit arithmetic. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Hanho Lee Reconfigurable Power-Aware Scalable Booth Multiplier. Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Ilya Obridko, Ran Ginosar Low energy asynchronous architectures. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Ge Zhang 0007, Zichu Qi, Weiwu Hu A novel design of leading zero anticipation circuit with parallel error detection. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16A. Prasad Vinod 0001, Edmund Ming-Kit Lai Optimizing vertical common subexpression elimination using coefficient partitioning for designing low complexity software radio channelizers. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Y. Ibrahim, William C. Miller, Graham A. Jullien, Vassil S. Dimitrov DBNS addition using cellular neural networks. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16James E. Stine, Christopher R. Babb, Vibhuti B. Dave Constant addition utilizing flagged prefix structures. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Srivathsan Krishnamohan, Nihar R. Mahapatra Increasing the energy efficiency of pipelined circuits via slack redistribution. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF slack passing, time borrowing, low-power design
16Tomás Lang, Javier D. Bruguera Floating-Point Multiply-Add-Fused with Reduced Latency. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Robert D. Kenney, Michael J. Schulte Multioperand Decimal Addition. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jianhua Liu, Michael Chang, Chung-Kuan Cheng, John F. MacDonald, Nan-Chi Chou, Peter Suaris Fast adders in modern FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Marcos Ferretti, Recep O. Ozdag, Peter A. Beerel High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Woo-Chan Park, Tack-Don Han, Sung-Bong Yang A Floating Point Divider Performing IEEE Rounding and Quotient Conversion in Parallel. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Zhi Ye, Ravi Kumar Satzoda, Udit Sharma, Naveen Nazimudeen, Chip-Hong Chang Performance Evaluation of Direct Form FIR Filter with Merged Arithmetic Architecture. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ge Yang 0004, Zhongda Wang, Sung-Mo Kang Leakage-Proof Domino Circuit Design for Deep Sub-100nm Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis, Yervant Zorian Easily Testable Cellular Carry Lookahead Adders. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cellular carry lookahead adders, linear-testability, design-for-testability, cell fault model
16Jen-Shiun Chiang, Min-Shiou Tsai A Radix-4 New Svobota-Tung Divider with Constant Timing Complexity for Prescaling. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF floating-point division, new Svoboda-Tung division, radix-4, Svoboda-Tung division, computer arithmetic, prescaling, signed digit number system
16Javier D. Bruguera, Tomás Lang Multilevel Reverse-Carry Addition: Single and Dual Adders. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF prefix adders, dual adders, most-significant-carry detection, computer arithmetic, VLSI design
16Javier Ramírez 0001, Uwe Meyer-Bäse, Antonio García 0001, Antonio Lloris-Ruíz Design and Implementation of RNS-Based Adaptive Filters. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Hossam A. H. Fahmy, Michael J. Flynn The Case for a Redundant Format in Floating Point Arithmetic. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Zhijun Huang, Milos D. Ercegovac High-Performance Left-to-Right Array Multiplier Design. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jianhua Liu, Shuo Zhou, Haikun Zhu, Chung-Kuan Cheng An Algorithmic Approach for Generic Parallel Adders. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jun Sakiyama, Takafumi Aoki, Tatsuo Higuchi 0001 Counter Tree Diagrams for Design and Analysis of Fast Addition Algorithms. Search on Bibsonomy ISMVL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Daeik D. Kim, Martin A. Brooke A 1.4G samples/sec comb filter design for decimation of sigma-delta modulator output. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Gian Carlo Cardarilli, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano Error Detection in Signed Digit Arithmetic Circuit with Parity Checker. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16B. Kiran Kumar, Parag K. Lala On-line Detection of Faults in Carry-Select Adders. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Stefania Perri, Pasquale Corsonello, Giuseppe Cocorullo VLSI circuits for low-power high-speed asynchronous addition. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16E. Islas Pérez, Carlos A. Coello Coello, Arturo Hernández Aguirre, Alejandro Villavicencio Ramírez Genetic Algorithms and Case-Based Reasoning as a Discovery and Learning Machine in the Optimization of Combinational Logic Circuits. Search on Bibsonomy MICAI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Shinji Kimura, Takashi Horiyama, Masaki Nakanishi, Hirotsugu Kajihara Folding of logic functions and its application to look up table compaction. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Tomás Lang, Javier D. Bruguera Floating-Point Fused Multiply-Add with Reduced Latency. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16G. R. Chaji, Seid Mehdi Fakhraie, Kenneth Carless Smith Pseudo dynamic logic (SDL): a high-speed and low-power dynamic logic family. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Giorgos Dimitrakopoulos, Dimitris Nikolos, Dimitris Bakalis Bit-Serial Test Pattern Generation by an Accumulator Behaving as a Non-Linear Feedback Shift Register. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Peter Kornerup Reviewing 4-to-2 Adders for Multi-Operand Addition. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Bhaskar Chatterjee, Manoj Sachdev, Ali Keshavarzi A DFT Technique for Low Frequency Delay Fault Testing in High Performance Digital Circuits. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Jaroslaw Pykacz, Bart D'Hooghe, Roman R. Zapatrin Quantum Computers as Fuzzy Computers. Search on Bibsonomy Fuzzy Days The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Kiwon Choi, Minkyu Song Design of a high performance 32×32-bit multiplier with a novel sign select Booth encoder. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Andreas Wassatsch, Dirk Timmermann Scalable counter architecture for a pre-loadable 1 GHz@0.6 um/5V pre-scaler in TSPC. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Hong-Yi Huang, Teng-Neng Wang High-speed CMOS logic circuits in capacitor coupling technique. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Athanasios Kakarountas, Kyriakos Papadomanolakis, Vasileios Kokkinos, Constantinos E. Goutis Comparative Study on Self-Checking Carry-Propagate Adders in Terms of Area, Power and Performance. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Chanyutt Arjhan, Raghvendra G. Deshmukh A Novel Fault-Detection Technique for The Parallel Multipliers and Dividers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallel divider, parallel-array divider, pf-model, summand-generator, summand-counter, multiple faults functional testing, design for testability, boundary scan, array multiplier, Parallel multiplier
16Miguel A. Sacristán, María Victoria Rodellar Biarge, Antonio Diaz, V. Garcia, Pedro Gómez 0001 A Reusable Inner Product Unit for DSP Applications. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Christian Pacha, Peter Glösekötter, Karl Goser, Uwe Auer, Werner Prost, Franz-Josef Tegude Resonant Tunneling Transistors for Threshold Logic Circuit Applications. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Shugang Wei, Kensuke Shimizu Residue Arithmetic Circuits Based on Signed-Digit Number Representation and the VHDL Implementation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2157 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license