The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for capacitance with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1951-1986 (15) 1987-1989 (24) 1990-1992 (17) 1993-1994 (23) 1995 (22) 1996 (21) 1997 (33) 1998 (45) 1999 (67) 2000 (54) 2001 (72) 2002 (80) 2003 (94) 2004 (109) 2005 (132) 2006 (167) 2007 (121) 2008 (118) 2009 (98) 2010 (55) 2011 (57) 2012 (47) 2013 (69) 2014 (61) 2015 (80) 2016 (81) 2017 (87) 2018 (77) 2019 (106) 2020 (97) 2021 (109) 2022 (121) 2023 (132) 2024 (37)
Publication types (Num. hits)
article(1062) data(3) incollection(2) inproceedings(1453) phdthesis(8)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 772 occurrences of 458 keywords

Results
Found 2528 publication records. Showing 2528 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Aditya P. Karmarkar, Xiaopeng Xu, Victor Moroz, Greg Rollins, Xiao Lin Analysis of performance and reliability trade-off in dummy pattern design for 32-nm technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Minimal-Power, Delay-Balanced Smart Repeaters for Global Interconnects in the Nanometer Regime. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Wei-Chung Chao, Wai-Kei Mak Low-power gated and buffered clock network construction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, buffer, clock gating, Clock tree, zero-skew
15Kostas Siozios, Dimitrios Soudris An Efficient Approach for Managing Power Consumption Hotspots Distribution on 3D FPGAs. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF P? CAD Algorithm, FPGA, Management, Power, 3D
15Kristofer Vorwerk, Madhu Raman, Julien Dunoyer, Yaun-Chung Hsu, Arun Kundu, Andrew A. Kennings A technique for minimizing power during FPGA placement. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yu-Ning Chang, Yih-Lang Li, Wei-Tin Lin, Wen-Nai Cheng Non-slicing floorplanning-based crosstalk reduction on gridless track assignment for a gridless routing system with fast pseudo-tile extraction. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF crosstalk reduction, full-chip routing, gridless routing, implicit connection graph-based router, non-slicing floorplanning, detailed routing
15Jae-Seok Yang, David Z. Pan Overlay aware interconnect and timing variation modeling for double patterning technology. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Konrad J. Kulikowski, Vyas Venkataraman, Zhen Wang 0001, Alexander Taubin, Mark G. Karpovsky Asynchronous balanced gates tolerant to interconnect variability. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ioannis Savidis, Eby G. Friedman Electrical modeling and characterization of 3-D vias. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Emre Salman, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin Equivalent rise time for resonance in power/ground noise estimation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yu-Huei Lee, Shih-Jung Wang, Chun-Yu Hsieh, Ke-Horng Chen Current mode DC-DC buck converters with optimal fast-transient control. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Gianluca Giustolisi, Gaetano Palumbo, Ester Spitale Low-voltage LDO Compensation Strategy based on Current Amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Robert Kolm, Weixun Yan, Horst Zimmermann Current-mode filter in 65nm CMOS for a software-radio application. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Liu Jianfeng, Jiang Yong, Ding Chuanhong Research on the Measurement System of Gyro Rotor. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Mark M. Budnik, Eric W. Johnson, Joshua D. Wood Electrical models for vertical carbon nanotube capacitors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, carbon nanotube, via, capacitor
15Emre Salman, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin Dominant Substrate Noise Coupling Mechanism for Multiple Switching Gates. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF signal integrity, mixed-signal circuits, Substrate coupling
15Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Process Variation Aware Bus-Coding Scheme for Delay Minimization in VLSI Interconnects. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus coding, delay, process variation
15Sriram Sambamurthy, Jacob A. Abraham, Raghuram S. Tupuri A Robust Top-Down Dynamic Power Estimation Methodology for Delay Constrained Register Transfer Level Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Sampo Tuuna, Jouni Isoaho, Hannu Tenhunen Analysis of Delay Variation in Encoded On-Chip Bus Signaling under Process Variation. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Soroush Abbaspour, Hanif Fatemi, Massoud Pedram Parameterized Non-Gaussian Variational Gate Timing Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Prashant Agrawal, Srinivasa R. S. T. G, Ajit N. Oke, Saurabh Vijay An Approach for Pre-Silicon Power Modeling. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Prashant Agrawal, Srinivasa R. S. T. G, Ajit N. Oke, Saurabh Vijay A Scalable Modeling Technique to Estimate Dynamic Thermal Design Power of Datapath Intensive Designs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ahmed Shebaita, Dusan Petranovic, Yehea I. Ismail Including inductance in static timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Sandeep Patil, Michael Wieckowski, Martin Margala A Self-Biased Charge-Transfer Sense Amplifier. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ebrahim Ghafar-Zadeh, Mohamad Sawan A CMOS-Based Capacitive Sensor for Laboratory-On-Chips: Design and Experimental Results. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yanming Jia, Yici Cai, Xianlong Hong Dummy fill aware buffer insertion during routing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF routing, VLSI, DFM, buffer insertion, dummy fill
15Rajeshwary Tayade, Vijay Kiran Kalyanam, Sani R. Nassif, Michael Orshansky, Jacob A. Abraham Estimating path delay distribution considering coupling noise. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic delay variation, coupling, crosstalk
15Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Delay-Balanced Smart Repeaters for On-Chip Global Signaling. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Asral Bahari, Tughrul Arslan, Ahmet T. Erdogan Interframe Bus Encoding Technique for Low Power Video Compression. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Janet Meiling Wang, Jun Li 0066, Satish K. Yanamanamanda, Lakshmi Kalpana Vakati, Kishore Kumar Muchherla Modeling the Driver Load in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yi-Yu Liu, Kuo-Hua Wang, TingTing Hwang Crosstalk minimization in logic synthesis for PLAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF synthesis, Crosstalk, PLA, domino logic
15Minoru Watanabe, Fuminori Kobayashi A 1, 632 Gate-Count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Byungsub Kim, Soumyajit Mandal, Rahul Sarpeshkar Power-adaptive operational amplifier with positive-feedback self biasing. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Milan Daphtary, Sameer Sonkusale Broadband capacitive sensor CMOS interface circuit for dielectric spectroscopy. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Chunjie Duan, Kanupriya Gulati, Sunil P. Khatri Memory-based crosstalk canceling CODECs for on-chip buses. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Georgios Vitzilaios, Yannis Papananos, Gerasimos Theodoratos, Athanasios Vasilopoulos A low-voltage CMOS LNA with multiple magnetic feedback for WLAN applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Cheng-Ta Chan, Oscal T.-C. Chen Inductor-less 10Gb/s CMOS transimpedance amplifier using source-follower regulated cascode and double three-order active feedback. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Chunyan Wang 0004 Implementation of space-efficient voltage-insensitive capacitances in integrated circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Huawei Li, Yu Fan, Rong Shi Chaos and Ferroresonance. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15A. Bendali, R. Labedan, F. Domingue, V. Nerguizian Holes Effects on RF MEMS Parallel Membranes Capacitors. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Daisuke Suzuki, Minoru Saeki Security Evaluation of DPA Countermeasures Using Dual-Rail Pre-charge Logic Style. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Charles J. Alpert, Andrew B. Kahng, Cliff C. N. Sze, Qinke Wang Timing-driven Steiner trees are (practically) free. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF arborescence, timing-driven, rectilinear Steiner tree
15Mark M. Budnik, Arijit Raychowdhury, Aditya Bansal, Kaushik Roy 0001 A high density, carbon nanotube capacitor for decoupling applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect, carbon nanotube, three-dimensional, capacitor
15Magdy A. El-Moursy, Eby G. Friedman Shielding effect of on-chip interconnect inductance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kai Wang 0011, Malgorzata Marek-Sadowska On-chip power-supply network optimization using multigrid-based technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kavel M. Büyüksahin, Farid N. Najm Early power estimation for VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Lin Zhong 0001, Niraj K. Jha Interconnect-aware low-power high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Ali Manzak, Hüseyin Göksu Application of Very Fast Simulated Reannealing (VFSR) to Low Power Design. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Siobhán Launders, Colin Doyle, Wesley Cooper Switching-Activity Directed Clustering Algorithm for Low Net-Power Implementation of FPGAs. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Laurent Lopez, Jean-Michel Portal, Didier Née A New Embedded Measurement Structure for eDRAM Capacitor. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Paul Zuber, Armin Windschiegl, Raúl Medina Beltrán de Otálora, Walter Stechele, Andreas Herkersdorf Reduction of CMOS Power Consumption and Signal Integrity Issues by Routing Optimization. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Liang Zhang 0038, John M. Wilson 0002, Rizwan Bashirullah, Lei Luo 0006, Jian Xu, Paul D. Franzon Driver pre-emphasis techniques for on-chip global buses. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF current sensing, peak current, pre-emphasis, low-power, crosstalk, differential, on-chip bus
15Dennis Buss Technology and design challenges for mobile communication and computing products. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Brock J. LaMeres, Sunil P. Khatri Broadband Impedance Matching for Inductive Interconnect in VLSI Packages. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Chiara Ghidini, J. G. Aranda, Danilo Gerna, K. Kelliher, Christoph Baumhof A digitally programmable on-chip RC-oscillator in 0.25µm CMOS logic process. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Gabriel Abadal, Jaume Verd, Jordi Teva, Arantxa Uranga, Núria Barniol, Jaume Esteve, Marta Duch, Francesc Pérez-Murano High-sensitivity capacitive readout system for resonant submicrometer-scale cantilevers based sensors. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Chun-Ming Chang Voltage-mode high-order OTA-only-without-C low-pass (from 215 M to 705 M Hz) and band-pass (from 214 M to 724 M Hz) filter structure. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kishore Kumar Muchherla, Pinhong Chen, Janet Meiling Wang A non-iterative equivalent waveform model for timing analysis in presence of crosstalk. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Mikko Saukoski, Lasse Aaltonen, Teemu Salo, Kari Halonen Fully integrated charge sensitive amplifier for readout of micromechanical capacitive sensors. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Antônio Carlos M. de Queiroz Multiple resonance networks with incomplete energy transfer and operating with zero-state response. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Lu Liu, Zhihua Wang 0001, Guolin Li Calculation of intermodulation distortion in CMOS transconductance stage. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Sani R. Nassif, Zhuo Li 0001 A More Effective CEFF. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15N. S. Nagaraj, William R. Hunter, Poras T. Balsara, Cyrus D. Cantrell The Impact of Inductance on Transients Affecting Gate Oxide Reliability. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Luca Daniel, Chin Siong Ong, Sok Chay Low, Kwok Hong Lee, Jacob K. White 0001 A multiparameter moment-matching model-reduction approach for generating geometrically parameterized interconnect performance models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Chunjie Duan, Sunil P. Khatri Exploiting Crosstalk to Speed up On-Chip Buse. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jingyu Xu, Xianlong Hong, Tong Jing, Ling Zhang, Jun Gu A coupling and crosstalk considered timing-driven global routing algorithm for high performance circuit design. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jaidilson Jó da Silva, Felix Rodrigues Neto, Manoel Alves Filho, Alfranque A. da Silva, Orlei de Oliveira Barbosa, Miguel Gonçalves Wanzeller, José Sérgio da Rocha Neto Microconverter Aided Time Constant Measurement. Search on Bibsonomy CONIELECOMP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Takao Tsuchiya, Yukio Kagawa On the Passive Vibration Damping by Piezoelectric Transducers with Inductive Loading. Search on Bibsonomy AsiaSim The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15P. D. Grant, M. W. Denhoff, Raafat R. Mansour A Comparison between RF MEMS Switches and Semiconductor Switches. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Nima Maghari, Mohammad Yavari, Omid Shoaei An analytical model for the slewing behavior of CMOS two-stage operational transconductance amplifiers. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jorge R. Fernandes, Manuel Medeiros Silva A very low-power CMOS parallel A/D converter for embedded applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Sean Nicolson, Khoman Phang Improvements in biasing and compensation of CMOS opamps. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Li Ding 0002, Pinaki Mazumder Dynamic Noise Margin: Definitions and Model. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Tina Lindkvist, Jacob Löfvenberg, Henrik Ohlsson, Kenny Johansson, Lars Wanhammar A Power-Efficient, Low-Complexity, Memoryless Coding Scheme for Buses with Dominating Inter-Wire Capacitances. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Razak Hossain, Fabrizio Viglione, Marco Cavalli Designing fast on-chip interconnects for deep submicrometer technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Kianosh Rahimi, Mani Soma Layout driven synthesis of multiple scan chains. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Charles J. Alpert, Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu, Alexander Zelikovsky Minimum buffered routing with bounded capacitive load for slew rate and reliability control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ryon M. Smey, Bill Swartz, Patrick H. Madden Crosstalk Reduction in Area Routing. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Steven C. Chan, Kenneth L. Shepard, Phillip J. Restle Design of Resonant Global Clock Distributions. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Miguel Ângelo M. Madureira, Paulo M. P. Monteiro, Rui L. Aguiar, Manuel Violas, M. Gloanec, E. Leclerc, Bernard Lefebvre High gain GaAs 10Gbps transimpedance amplifier with integrated bondwire effects. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Alberto Nannarelli, Gian Carlo Cardarilli, Marco Re Power-delay tradeoffs in residue number system. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Drazen Jurisic, George S. Moschytz, Neven Mijat Low-noise low-power allpole active-RC filters minimizing resistor level. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15J. Shorb, Xiaoyong Li 0001, David J. Allstot A resonant pad for ESD protected narrowband CMOS RF applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Magdy A. El-Moursy, Eby G. Friedman Shielding effect of on-chip interconnect inductance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip inductance, shielding effect, propagation delay, interconnect modeling, gate delay
15Dhruva Acharyya, Jim Plusquellic Impedance Profile of a Commercial Power Grid and Test System. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Kaushik Gala, David T. Blaauw, Vladimir Zolotov, Pravin M. Vaidya, Anil Joshi Inductance model and analysis methodology for high-speed on-chip interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Kaustav Banerjee, Amit Mehrotra Analysis of on-chip inductance effects for distributed RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Weiping Shi, Jianguo Liu 0001, Naveen Kakani, Tiejun Yu A fast hierarchical algorithm for three-dimensional capacitanceextraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15R. M. Nussbaumer, D. G. Rüegg, L. M. Studer, J.-P. Gabriel Computer simulation of the motoneuron pool-muscle complex. I. Input system and motoneuron pool. Search on Bibsonomy Biol. Cybern. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Armin Windschiegl, Paul Zuber, Walter Stechele Exploiting Metal Layer Characteristics for Low-Power Routing. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas Congestion-Aware Logic Synthesis. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Nikil D. Dutt, Daniel S. Hirschberg, Mahesh Mamidipaka Efficient Power Reduction Techniques for Time Multiplexed Address Buses. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address encoding techniques, time-multiplexed addressing, low power
15Unni Narayanan, Ki-Seok Chung, Taewhan Kim Enhanced bus invert encodings for low-power. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Jacqueline S. Pereira, Antonio Petraglia, Mauricio F. Quélhas Approximating linear phase with IIR SC filters. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Martin Kuhlmann, Sachin S. Sapatnekar Exact and efficient crosstalk estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Angelo Brambilla, Paolo Maffezzoni Statistical method for the analysis of interconnects delay insubmicrometer layouts. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Jason Cong, Lei He 0001, Cheng-Kok Koh, David Zhigang Pan Interconnect sizing and spacing with consideration of couplingcapacitance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Daniel Eckerbert, Per Larsson-Edefors Interconnect-Driven Short-Circuit Power Modeling. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Ivan E. Sutherland, Jon K. Lexau Designing Fast Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Denis Deschacht, Grégory Servel On-chip interconnections: impact of adjacent lines on timing. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2528 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license