The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for delay with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1960 (16) 1961-1964 (16) 1965-1966 (16) 1967-1968 (18) 1969-1970 (20) 1971-1973 (22) 1974 (16) 1975-1976 (30) 1977 (18) 1978 (17) 1979 (19) 1980 (15) 1981 (26) 1982 (36) 1983 (40) 1984 (47) 1985 (56) 1986 (76) 1987 (75) 1988 (98) 1989 (105) 1990 (167) 1991 (180) 1992 (209) 1993 (224) 1994 (330) 1995 (441) 1996 (404) 1997 (482) 1998 (504) 1999 (672) 2000 (767) 2001 (1016) 2002 (1191) 2003 (1454) 2004 (1977) 2005 (2360) 2006 (2902) 2007 (3020) 2008 (3172) 2009 (2327) 2010 (1586) 2011 (1582) 2012 (1659) 2013 (1782) 2014 (1748) 2015 (1762) 2016 (1821) 2017 (1817) 2018 (1873) 2019 (2021) 2020 (1910) 2021 (1775) 2022 (1790) 2023 (1865) 2024 (427)
Publication types (Num. hits)
article(23373) book(12) data(5) incollection(72) inproceedings(26312) phdthesis(221) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18369 occurrences of 5291 keywords

Results
Found 50014 publication records. Showing 49999 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Shankar M. Banik, Sridhar Radhakrishnan, Chandra N. Sekharan Multicast Routing with Delay and Delay Variation Constraints for Collaborative Applications on Overlay Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF shortest path, overlay networks, Multicasting protocols
24Richard Putman, Rahul Gawde Enhanced Timing-Based Transition Delay Testing for Small Delay Defects. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Kun Zhang, Hong Zhang 0021, Jian Xu An Efficient Distributed Dynamic Multicast Routing with Delay and Delay Variation Constraints. Search on Bibsonomy ICCNMC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Kun Zhang, Heng Wang, Feng-Yu Liu An Efficient Algorithm Based on Simulated Annealing for Multicast Routing with Delay and Delay Variation Constraints. Search on Bibsonomy AINA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24David Guerrero Martos, Gustavo Wilke, José Luís Almada Güntzel, Manuel J. Bellido, Jorge Juan-Chico, Paulino Ruiz-de-Clavijo, Alejandro Millán 0001 Computational Delay Models to Estimate the Delay of Floating Cubes in CMOS Circuits. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Jirí Vlach, James A. Barby, Anthony Vannelli, T. Talkhan, C.-J. Richard Shi Group delay as an estimate of delay in logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
24Song Wang, Yu-Chung Wang, Kwei-Jay Lin A Priority-Based Weighted Fair Queuing Scheduler for Real-Time Network. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF PWFQ, bandwidth guarantee, sliding window, delay bound, Real-Time Network, Weighted Fair Queuing
24Francesc Moll, Joan Figueras, Antonio Rubio 0001 Data Dependence of Delay Distribution for a Planar Bus. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Ravi Narasimhan Throughput-Delay Performance of Half-Duplex Hybrid-ARQ Relay Channels. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Jason Cong, John Lee 0002, Lieven Vandenberghe Robust gate sizing via mean excess delay minimization. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF robust gate sizing, process variation, geometric programming, conditional value-at-risk
24Rouba Ibrahim, Ward Whitt REal-time delay estimation in call centers. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Dongni Ren, Yui Tung Hillman Li, Shueng-Han Gary Chan On Reducing Mesh Delay for Peer-to-Peer Live Streaming. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Ana Antunes, Fernando Morgado Dias, Alexandre Manuel Mota A Neural Model for Delay Correction in a Distributed Control System. Search on Bibsonomy ICANN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Wei Zhang, Jingsha He Statistical Modeling and Correlation Analysis of End-to-End Delay in Wide Area Networks. Search on Bibsonomy SNPD (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Ling Zhang, Hongyu Chen, Bo Yao, Kevin Hamilton, Chung-Kuan Cheng Repeated On-Chip Interconnect Analysis and Evaluation of Delay, Power, and Bandwidth Metrics under Different Design Goals. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Fan Yu, Tao Wu 0015, Subir Kumar Biswas Routing with Minimized Slot Misordering for Delay Mitigation in TDMA based Sensor Networks. Search on Bibsonomy ICNS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Yang Xiao 0001, Hui Chen 0001, Mohsen Guizani Performance Evaluation of Pipeline Paging under Paging Delay Constraint for Wireless Systems. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Parallel, pipeline, paging, wireless systems
24Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical crosstalk aggressor alignment aware interconnect delay calculation. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Sebastià A. Bota, M. Rosales, José Luis Rosselló, Jaume Segura 0001 Low V_D_D vs. Delay: Is it Really a Good Correlation Metric for Nanometer ICs?. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Insoo Koo, Jeongrok Yang, Kiseon Kim Erlang Capacity of Voice/Data CDMA Systems with Service Requirements of Blocking Probability and Delay Constraint. Search on Bibsonomy ICN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Tezaswi Raja, Vishwani D. Agrawal, Michael L. Bushnell Variable Input Delay CMOS Logic for Low Power Design. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Xiang Lu, Zhuo Li 0001, Wangqi Qiu, D. M. H. Walker, Weiping Shi PARADE: PARAmetric Delay Evaluation under Process Variation. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Wangqi Qiu, Xiang Lu, Jing Wang 0006, Zhuo Li 0001, D. M. H. Walker, Weiping Shi A Statistical Fault Coverage Metric for Realistic Path Delay Faults. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Nuno F. Paulino, M. Serrazina, João Goes, Adolfo Steiger-Garção Design of a digitally programmable delay-locked-loop for a low-cost ultra wide band radar receiver. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Songun Na, Seungwha Yoo Allowable Propagation Delay for VoIP Calls of Acceptable Quality. Search on Bibsonomy AISA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Satoshi Tayu, Mineo Kaneko Characterization and computation of Steiner wiring based on Elmore's delay model. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Hidetoshi Matsumura, Atsushi Takahashi 0001 Delay variation tolerant clock scheduling for semi-synchronous circuits. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24R. Radhakrishna Pillai A distributed overload control algorithm for delay-bounded call setup. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Call overload control, signaling performance, distributed algorithm, communication networks
24Yuichiro Miyaoka, Yoshiharu Kataoka, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki Area/delay estimation for digital signal processor cores. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Hyeong-Ju Kang, In-Cheol Park Multiplier-less IIR filter synthesis algorithms to trade-off the delay and the number of adders. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Mahadevamurty Nemani, Farid N. Najm Delay Estimation VLSI Circuits from a High-Level View. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Srimat T. Chakradhar, Mahesh A. Iyer, Vishwani D. Agrawal Energy models for delay testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
24David J. Yates, James F. Kurose, Donald F. Towsley, Michael G. Hluchyj On Per-Session End-to-End Delay Distributions and the Call Admission Problem for Real-Time Applications with QOS Requirements. Search on Bibsonomy SIGCOMM The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Vijay S. Iyengar, Barry K. Rosen, John A. Waicukauski On computing the sizes of detected delay faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Liying Xie, Gang Wei, Hongjiang Wang, Zaijin Xie Performance Analysis of IEEE 802.11 DCF in Multi-hop Ad Hoc Networks. Search on Bibsonomy ACIS-ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Pongstorn Maidee, Cristinel Ababei, Kia Bazargan Timing-driven partitioning-based placement for island style FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Hui Cheng, Jiannong Cao 0001, Srinivasan Mullai, Xingwei Wang 0001 A Heuristic Multicast Algorithm to Support QoS Group Communications in Heterogeneous Network. Search on Bibsonomy QSHINE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Vishal Phirke, Mark Claypool, Robert E. Kinicki Traffic Sensitive Active Queue Management for Improved Multimedia Streaming. Search on Bibsonomy QoS-IP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Noel Menezes, Chung-Ping Chen Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Chung-Ping Chen, D. F. Wong 0001 Optimal Wire-Sizing Function with Fringing Capacitance Consideration. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Muhammad Nummer, Manoj Sachdev A Methodology for Testing High-Performance Circuits at Arbitrarily Low Test Frequency. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF high-performance testing, controlled-delay flip-flop, built-in self test, Delay-fault testing, design for delay testability
23Hans G. Kerkhoff, Mansour Shashaani, Manoj Sachdev A Low-Speed BIST Framework for High-Performance Circuit Testing. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF controlled-delay flip-flops, BIST, Delay-fault testing, design for delay testability
23Cédric Majek, Yann Deval, Hervé Lapuyade, Jean-Baptiste Bégueret Voltage controlled delay line with phase quadrature outputs for [0.9-4] GHz F-DLL dedicated to zero-IF multi-standard LO. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS-SOI, factorial delay locked loop, multi-standard frequency synthesizer, quadrature phase signals, voltage controlled delay element
23Omer Gurewitz, Israel Cidon, Moshe Sidi One-way delay estimation using network-wide measurements. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network measurements, maximum entropy, delay estimation, mathematical optimization, one-way delay
23Yasuyoshi Yokokohji, Teruhiro Tsujioka, Tsuneo Yoshikawa Bilateral Control with Time-Varying Delay Including Communication Blackout. Search on Bibsonomy Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF master-slave manipulator, the Internet, computer network, teleoperation, time delay, time-varying delay, bilateral control
23Marwan A. Gharaybeh, Michael L. Bushnell, Vishwani D. Agrawal Parallel concurrent path-delay fault simulation using single-input change patterns. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF concurrent path-delay fault simulation, single-input change patterns, singly-testable path-delay faults, random values, rising transitions, falling transitions, sixteen-valued algebra, machine word parallelism, ISCAS '85 benchmarks, ISCAS '89 benchmarks, parallel algorithms, fault diagnosis, logic testing, delays, Boolean functions, sequential circuits, logic CAD, circuit analysis computing, flip-flops, Boolean operations
23Ankan K. Pramanick, Sudhakar M. Reddy Efficient multiple path propagating tests for delay faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay testing, path delay faults, robust tests, test efficiency
23Huaguang Zhang, Zhanshan Wang New delay-dependent criterion for the stability of recurrent neural networks with time-varying delay. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF recurrent neural networks, linear matrix inequality (LMI), time-varying delay, global asymptotic stability
23Chien-Yu Lu, Hsun-Heng Tsai, Te-Jen Su, Jason Sheng-Hong Tsai, Chin-Wen Liao A Delay-Dependent Approach to Passivity Analysis for Uncertain Neural Networks with Time-varying Delay. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Passivity analysis, Delay dependence, Neural networks, Linear matrix inequality
23Zhan Shu, James Lam Delay-Dependent Exponential Estimates of Stochastic Neural Networks with Time Delay. Search on Bibsonomy ICONIP (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF exponential estimates, linear matrix inequalities (LMIs), time delay, stochastic neural networks
23Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect
23Byungwoo Choi, D. M. H. Walker Timing Analysis of Combinational Circuits Including Capacitive Coupling and Statistical Process Variation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect coupling, delay fault model, process variation, timing analysis, delay fault test
23Ivan Vaghi, Chris Greenhalgh, Steve Benford Coping with inconsistency due to network delays in collaborative virtual environments. Search on Bibsonomy VRST The full citation details ... 1999 DBLP  DOI  BibTeX  RDF perception of delay, distributed systems, user interfaces, consistency, CVEs, collaborative virtual environments, transparency, network delay
23Patrick Vuillod, Luca Benini, Giovanni De Micheli Generalized matching from theory to application. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MCNC 91 benchmark suite, algorithmic optimization, generalized matching, library cells, multi-output network, post-mapping optimization, unconstrained delay minimization, logic CAD, power minimization, delay constraints, area minimization, Boolean relation
23Chi-Hong Hwang, Allen C.-H. Wu A predictive system shutdown method for energy saving of event-driven computation. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF VLSI circuit design, delay overhead, event-driven computation, exponential-average approach, low delay penalties, pre-wakeup, prediction-miss correction, predictive system shutdown method, sleep mode operations, system-level power management, VLSI, finite state machine, logic CAD, energy saving, power saving, hit ratio, idle period
23Zhaohui Yan 0002, Yih-Chyun Jenq Performance Analysis of a Banyan-Based ATM Switching Fabric with Packet Priority. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Banyan based ATM switching fabric, packet priority, single-buffered Banyan network, three-state Markov chain model, uniform traffic, blocked packet routing, fully loaded six-stage switch, simulation, performance analysis, asynchronous transfer mode, delay, statistical analysis, throughput, analytical model, contention, delay variation
23Jonathan L. Wang Impact of self-similarity on the go-back-N ARQ protocols. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF go-back-N ARQ protocols, packet traffic, fractal properties, self-similar properties, link-layer error control mechanism, maximum throughput efficiency, Gilbert's 2-state error mode, parameter matching technique, frame transmission time, network engineering, delay, self-similarity, automatic repeat request, propagation delay, burstiness
23Maria C. Yuang, Yu G. Chen, M. T. Yen Optimal multicast routing for ATM networks. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimal multicast routing algorithm, LOPT, load-sensitive networks, delay-sensitive, performance evaluation, computational complexity, computational complexity, asynchronous transfer mode, ATM networks, multicast routing, telecommunication network routing, multicast communication, delay bound
23Ashok K. Agrawala, Bijendra N. Jain Deterministic Model and Transient Analysis of Virtual Circuits. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF transit delay, tandem of servers, transit time constraints, minimum transit delay, opensystems, delays, congestion control, computer networks, computer networks, throughput, transient analysis, FIFO, virtual circuits, service times, maximum throughput, deterministic model
22Nesrine Ouferhat, Abdelhamid Mellouk Inductive routing based on energy and delay metrics in wireless sensor networks. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi criteria routing optimization, state dependent algorithm, QoS, Delay, energy consumption
22S. Mostafa Mostafavi, Ehsan Hamadani, Rahim Tafazolli Delay minimization in multipath routing. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF routing, traffic control, delay minimization
22Shanq-Jang Ruan, Tsang-Chi Kan, Jih-Chieh Hsu A novel crosstalk quantitative approach for simultaneously reducing power, noise, and delay based on bus-invert encoding schemes. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bus-invert, coupling, interconnect delay
22Rachid El Azouzi, Sujit Kumar Samanta, Essaid Sabir, Ralph El Khoury Asymptotic Delay Analysis and Timeout-Based Admission Control for Ad Hoc Wireless Networks. Search on Bibsonomy ADHOC-NOW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cross-layer mechanism, Wireless ad hoc network, MAC protocols, End-to-end delay, Embedded Markov chain, G/G/1 queue
22Dukgwon Lee, Seunghyun Beak, Youngmin Lee, Eunser Lee, Jungkook Kim, Gyung-Leen Park, Taikyeong Jeong Minimize the delay of parasitic capacitance and modeling in RLC circuit. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Elmore, parasitic capacitance, delay, interconnection, oscillator
22Tae-Eung Sung Near-optimal delay-constrained MLR scheduler for multimedia embedded wireless channels. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modified-linear-rule (MLR) scheduler, multiuser wireless fading channels, queueing delay and power constraints, quality of service (QoS)
22Wenbo He, Klara Nahrstedt, Xue Liu 0001 End-to-end delay control of multimedia applications over multihop wireless links. Search on Bibsonomy ACM Trans. Multim. Comput. Commun. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF End-to-end delay QoS, wireless ad hoc networks
22Yoshinori Kitatsuji, Masato Tsuru, Tetsuya Takine, Yuji Oie Flow assignment method with traffic characteristics over multiple paths for reducing queuing delay. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Flow assignment, Traffic engineering, Queuing delay, Traffic characteristics
22Ahmad Al Hanbali, Roland de Haan, Richard J. Boucherie, Jan-Kees C. W. van Ommeren A Tandem Queueing Model for Delay Analysis in Disconnected Ad Hoc Networks. Search on Bibsonomy ASMTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Tandem queueing model, Autonomous server, Ad hoc networks, Performance analysis, Delay-tolerant networking
22Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Process Variation Aware Bus-Coding Scheme for Delay Minimization in VLSI Interconnects. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus coding, delay, process variation
22Jason R. Chen Making clustering in delay-vector space meaningful. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Delay space, Sequential time series clustering, STS clustering, Clustering, Time series
22K. S. Sainarayanan, Chittarsu Raghunandan, M. B. Srinivas Bus encoding schemes for minimizing delay in VLSI interconnects. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bus encoding technique, crosstalk class, delay, encoder, decoder, VLSI interconnects
22Pinata Winoto, Gordon I. McCalla, Julita Vassileva Strategic delay in bargaining. Search on Bibsonomy AAMAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF strategic delay, multiagent systems, bargaining
22Veronique Inghelbrecht, Bart Steyaert, Sabine Wittevrongel, Herwig Bruneel Burst loss and delay in optical buffers with offset-time management. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fiber delay lines, Offset time, Scheduling horizon, Quality of service, Optical buffers
22Weijia Jia 0001, Hanxing Wang, Wanqing Tu, Wei Zhao 0001 A New delay control method for real-time flows. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Worst-case delay bound, Traffic regulation and control, Multiplexer and regulated networks, Real-time communication, QoS guarantee
22Khaled A. Harras, Kevin C. Almeroth Transport Layer Issues in Delay Tolerant Mobile Networks. Search on Bibsonomy Networking The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reliability, Mobile Networks, Delay Tolerant Networks
22Brian Carrig, David Denieffe, John Murphy 0001 A relative delay minimization scheme for multiplayer gaming in differentiated services networks. Search on Bibsonomy NETGAMES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF relative delay, QoS, diffserv, network games
22Sinam Woo, Woojin Park, Younghwan Jung, Sunshin An, Dongho Kim Throughput and Delay Analysis Considering Packet Arrival in IEEE 802.11. Search on Bibsonomy ICDCIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF WLAN, Wireless, Delay, Throughput
22Kenichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, Takao Onoye A gate delay model focusing on current fluctuation over wide-range of process and environmental variability. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate delay model, variability, static timing analysis, statistical timing analysis
22Gagan Raj Gupta, Madhur Gupta, Preeti Ranjan Panda Rapid estimation of control delay from high-level specifications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF control delay, high level synthesis, estimation, FSM
22Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell Delay Fault Testing of Look-Up Tables in SRAM-Based FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF look-up table (LUT), FPGA, test, delay fault
22Mun Choon Chan, Ramachandran Ramjee TCP/IP Performance over 3G Wireless Links with Rate and Delay Variation. Search on Bibsonomy Wirel. Networks The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3G wireless, link delay and rate variation, throughput model, ack regulator, TCP
22Baris Taskin, Ivan S. Kourtev Delay insertion method in clock skew scheduling. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay insertion, re-convergent paths, optimization, linear programming, clock skew
22Baek-Young Choi, Sue B. Moon, Rene L. Cruz, Zhi-Li Zhang, Christophe Diot Practical delay monitoring for ISPs. Search on Bibsonomy CoNEXT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay, performance monitoring, active probing
22Habib M. Ammari, Sajal K. Das 0001 Trade-off between energy savings and source-to-sink delay in data dissemination for wireless sensor networks. Search on Bibsonomy MSWiM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF source-to-sink delay, wireless sensor networks, energy savings
22Arijit Raychowdhury, Swaroop Ghosh, Kaushik Roy 0001 A Novel On-Chip Delay Measurement Hardware for Efficient Speed-Binning. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Speed binning, delay measurement hardware, process variation
22Yibo Wang, Yici Cai, Xianlong Hong A Fast Buffered Routing Tree Construction Algorithm under Accurate Delay Model. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF accurate delay model, obstacle-aware routing, buffer insertion, interconnect optimization
22Hagit Attiya, David Hay Randomization does not reduce the average delay in parallel packet switches. Search on Bibsonomy SPAA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF oblivious adversary, load balancing, packet switching, randomization, clos networks, queuing delay, inverse multiplexing, adaptive adversary
22Weijia Jia 0001, Bo Han 0001, Chuanlin Zhang, Wanlei Zhou 0001 Delay Control and Parallel Admission Algorithms for Real-Time Anycast Flow. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF anycast flow, delay control, parallel admission algorithm
22Mong-Fong Horng, Yau-Hwang Kuo Dynamic Slot Allocation to Control Delay in TDMA Wireless Base Station. Search on Bibsonomy ISCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Dynamic slot allocation, Delay control, TDMA
22Jon C. R. Bennett, Kent Benson, Anna Charny, William F. Courtney, Jean-Yves Le Boudec Delay jitter bounds and packet scale rate guarantee expedited forwarding. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF expedited forwarding, differentiated services, delay jitter
22Dina Katabi, Mark Handley, Charles E. Rohrs Congestion control for high bandwidth-delay product networks. Search on Bibsonomy SIGCOMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF large bandwidth-delay product, congestion control, high-speed networks
22Lothar Pantel, Lars C. Wolf On the impact of delay on real-time multiplayer games. Search on Bibsonomy NOSSDAV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF user impact, delay, real-time applications, multiplayer games
22Ruchir Puri, David S. Kung 0001, Anthony D. Drumm Fast and accurate wire delay estimation for physical synthesis of large ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement driven synthesis, wire delay, estimation, integrated circuit design
22Paul I. Pénzes, Alain J. Martin Energy-delay efficiency of VLSI computations. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-delay optimization, transistor sizing
22Paul I. Pénzes, Mika Nyström, Alain J. Martin Transistor sizing of energy-delay--efficient circuits. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-delay optimization, transistor sizing
22Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng, Jennifer Dworak, M. Ray Mercer, Rohit Kapur, Thomas W. Williams Enhancing test efficiency for delay fault testing using multiple-clocked schemes. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF transition fault model, delay testing, statistical timing analysis
22Takeo Yasuda, Hiroaki Fujita, Hidetoshi Onodera A dynamically phase adjusting PLL with a variable delay. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF phase adjust, variable delay, lock-up, PLL
22Fintan Culwin, Xristine Faulkner Browsing the Web: Delay, Determination and Satisfaction. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Web, delay, latency, satisfaction
22Anna Charny, Jean-Yves Le Boudec Delay Bounds in a Network with Aggregate Scheduling. Search on Bibsonomy QofIS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF aggregate scheduling, priority queuing, delay, Diffserv, jitter, FIFO, Guaranteed Service
22Chao-Wen Tseng, Edward J. McCluskey, Xiaoping Shao, David M. Wu Cold Delay Defect Screening. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Manufacturing quality, Reliability, Delay Testing
Displaying result #301 - #400 of 49999 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license