|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 18369 occurrences of 5291 keywords
|
|
|
Results
Found 50014 publication records. Showing 49999 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
24 | Shankar M. Banik, Sridhar Radhakrishnan, Chandra N. Sekharan |
Multicast Routing with Delay and Delay Variation Constraints for Collaborative Applications on Overlay Networks. |
IEEE Trans. Parallel Distributed Syst. |
2007 |
DBLP DOI BibTeX RDF |
shortest path, overlay networks, Multicasting protocols |
24 | Richard Putman, Rahul Gawde |
Enhanced Timing-Based Transition Delay Testing for Small Delay Defects. |
VTS |
2006 |
DBLP DOI BibTeX RDF |
|
24 | Kun Zhang, Hong Zhang 0021, Jian Xu |
An Efficient Distributed Dynamic Multicast Routing with Delay and Delay Variation Constraints. |
ICCNMC |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Kun Zhang, Heng Wang, Feng-Yu Liu |
An Efficient Algorithm Based on Simulated Annealing for Multicast Routing with Delay and Delay Variation Constraints. |
AINA |
2005 |
DBLP DOI BibTeX RDF |
|
24 | David Guerrero Martos, Gustavo Wilke, José Luís Almada Güntzel, Manuel J. Bellido, Jorge Juan-Chico, Paulino Ruiz-de-Clavijo, Alejandro Millán 0001 |
Computational Delay Models to Estimate the Delay of Floating Cubes in CMOS Circuits. |
PATMOS |
2003 |
DBLP DOI BibTeX RDF |
|
24 | Jirí Vlach, James A. Barby, Anthony Vannelli, T. Talkhan, C.-J. Richard Shi |
Group delay as an estimate of delay in logic. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1991 |
DBLP DOI BibTeX RDF |
|
24 | Song Wang, Yu-Chung Wang, Kwei-Jay Lin |
A Priority-Based Weighted Fair Queuing Scheduler for Real-Time Network. |
RTCSA |
1999 |
DBLP DOI BibTeX RDF |
PWFQ, bandwidth guarantee, sliding window, delay bound, Real-Time Network, Weighted Fair Queuing |
24 | Francesc Moll, Joan Figueras, Antonio Rubio 0001 |
Data Dependence of Delay Distribution for a Planar Bus. |
PATMOS |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Ravi Narasimhan |
Throughput-Delay Performance of Half-Duplex Hybrid-ARQ Relay Channels. |
ICC |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Jason Cong, John Lee 0002, Lieven Vandenberghe |
Robust gate sizing via mean excess delay minimization. |
ISPD |
2008 |
DBLP DOI BibTeX RDF |
robust gate sizing, process variation, geometric programming, conditional value-at-risk |
24 | Rouba Ibrahim, Ward Whitt |
REal-time delay estimation in call centers. |
WSC |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Dongni Ren, Yui Tung Hillman Li, Shueng-Han Gary Chan |
On Reducing Mesh Delay for Peer-to-Peer Live Streaming. |
INFOCOM |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Ana Antunes, Fernando Morgado Dias, Alexandre Manuel Mota |
A Neural Model for Delay Correction in a Distributed Control System. |
ICANN (2) |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Wei Zhang, Jingsha He |
Statistical Modeling and Correlation Analysis of End-to-End Delay in Wide Area Networks. |
SNPD (3) |
2007 |
DBLP DOI BibTeX RDF |
|
24 | Ling Zhang, Hongyu Chen, Bo Yao, Kevin Hamilton, Chung-Kuan Cheng |
Repeated On-Chip Interconnect Analysis and Evaluation of Delay, Power, and Bandwidth Metrics under Different Design Goals. |
ISQED |
2007 |
DBLP DOI BibTeX RDF |
|
24 | Fan Yu, Tao Wu 0015, Subir Kumar Biswas |
Routing with Minimized Slot Misordering for Delay Mitigation in TDMA based Sensor Networks. |
ICNS |
2007 |
DBLP DOI BibTeX RDF |
|
24 | Yang Xiao 0001, Hui Chen 0001, Mohsen Guizani |
Performance Evaluation of Pipeline Paging under Paging Delay Constraint for Wireless Systems. |
IEEE Trans. Mob. Comput. |
2006 |
DBLP DOI BibTeX RDF |
Parallel, pipeline, paging, wireless systems |
24 | Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 |
Statistical crosstalk aggressor alignment aware interconnect delay calculation. |
SLIP |
2006 |
DBLP DOI BibTeX RDF |
|
24 | Sebastià A. Bota, M. Rosales, José Luis Rosselló, Jaume Segura 0001 |
Low V_D_D vs. Delay: Is it Really a Good Correlation Metric for Nanometer ICs?. |
VTS |
2006 |
DBLP DOI BibTeX RDF |
|
24 | Insoo Koo, Jeongrok Yang, Kiseon Kim |
Erlang Capacity of Voice/Data CDMA Systems with Service Requirements of Blocking Probability and Delay Constraint. |
ICN (2) |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Tezaswi Raja, Vishwani D. Agrawal, Michael L. Bushnell |
Variable Input Delay CMOS Logic for Low Power Design. |
VLSI Design |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Xiang Lu, Zhuo Li 0001, Wangqi Qiu, D. M. H. Walker, Weiping Shi |
PARADE: PARAmetric Delay Evaluation under Process Variation. |
ISQED |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Wangqi Qiu, Xiang Lu, Jing Wang 0006, Zhuo Li 0001, D. M. H. Walker, Weiping Shi |
A Statistical Fault Coverage Metric for Realistic Path Delay Faults. |
VTS |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Nuno F. Paulino, M. Serrazina, João Goes, Adolfo Steiger-Garção |
Design of a digitally programmable delay-locked-loop for a low-cost ultra wide band radar receiver. |
ISCAS (1) |
2003 |
DBLP DOI BibTeX RDF |
|
24 | Songun Na, Seungwha Yoo |
Allowable Propagation Delay for VoIP Calls of Acceptable Quality. |
AISA |
2002 |
DBLP DOI BibTeX RDF |
|
24 | Satoshi Tayu, Mineo Kaneko |
Characterization and computation of Steiner wiring based on Elmore's delay model. |
APCCAS (2) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | Hidetoshi Matsumura, Atsushi Takahashi 0001 |
Delay variation tolerant clock scheduling for semi-synchronous circuits. |
APCCAS (1) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | R. Radhakrishna Pillai |
A distributed overload control algorithm for delay-bounded call setup. |
IEEE/ACM Trans. Netw. |
2001 |
DBLP DOI BibTeX RDF |
Call overload control, signaling performance, distributed algorithm, communication networks |
24 | Yuichiro Miyaoka, Yoshiharu Kataoka, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki |
Area/delay estimation for digital signal processor cores. |
ASP-DAC |
2001 |
DBLP DOI BibTeX RDF |
|
24 | Hyeong-Ju Kang, In-Cheol Park |
Multiplier-less IIR filter synthesis algorithms to trade-off the delay and the number of adders. |
ISCAS (2) |
2001 |
DBLP DOI BibTeX RDF |
|
24 | Mahadevamurty Nemani, Farid N. Najm |
Delay Estimation VLSI Circuits from a High-Level View. |
DAC |
1998 |
DBLP DOI BibTeX RDF |
|
24 | Srimat T. Chakradhar, Mahesh A. Iyer, Vishwani D. Agrawal |
Energy models for delay testing. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1995 |
DBLP DOI BibTeX RDF |
|
24 | David J. Yates, James F. Kurose, Donald F. Towsley, Michael G. Hluchyj |
On Per-Session End-to-End Delay Distributions and the Call Admission Problem for Real-Time Applications with QOS Requirements. |
SIGCOMM |
1993 |
DBLP DOI BibTeX RDF |
|
24 | Vijay S. Iyengar, Barry K. Rosen, John A. Waicukauski |
On computing the sizes of detected delay faults. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1990 |
DBLP DOI BibTeX RDF |
|
24 | Liying Xie, Gang Wei, Hongjiang Wang, Zaijin Xie |
Performance Analysis of IEEE 802.11 DCF in Multi-hop Ad Hoc Networks. |
ACIS-ICIS |
2009 |
DBLP DOI BibTeX RDF |
|
24 | Pongstorn Maidee, Cristinel Ababei, Kia Bazargan |
Timing-driven partitioning-based placement for island style FPGAs. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Hui Cheng, Jiannong Cao 0001, Srinivasan Mullai, Xingwei Wang 0001 |
A Heuristic Multicast Algorithm to Support QoS Group Communications in Heterogeneous Network. |
QSHINE |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Vishal Phirke, Mark Claypool, Robert E. Kinicki |
Traffic Sensitive Active Queue Management for Improved Multimedia Streaming. |
QoS-IP |
2003 |
DBLP DOI BibTeX RDF |
|
24 | Noel Menezes, Chung-Ping Chen |
Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect. |
VLSI Design |
1999 |
DBLP DOI BibTeX RDF |
|
24 | Chung-Ping Chen, D. F. Wong 0001 |
Optimal Wire-Sizing Function with Fringing Capacitance Consideration. |
DAC |
1997 |
DBLP DOI BibTeX RDF |
|
23 | Muhammad Nummer, Manoj Sachdev |
A Methodology for Testing High-Performance Circuits at Arbitrarily Low Test Frequency. |
VTS |
2001 |
DBLP DOI BibTeX RDF |
high-performance testing, controlled-delay flip-flop, built-in self test, Delay-fault testing, design for delay testability |
23 | Hans G. Kerkhoff, Mansour Shashaani, Manoj Sachdev |
A Low-Speed BIST Framework for High-Performance Circuit Testing. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
controlled-delay flip-flops, BIST, Delay-fault testing, design for delay testability |
23 | Cédric Majek, Yann Deval, Hervé Lapuyade, Jean-Baptiste Bégueret |
Voltage controlled delay line with phase quadrature outputs for [0.9-4] GHz F-DLL dedicated to zero-IF multi-standard LO. |
SBCCI |
2009 |
DBLP DOI BibTeX RDF |
CMOS-SOI, factorial delay locked loop, multi-standard frequency synthesizer, quadrature phase signals, voltage controlled delay element |
23 | Omer Gurewitz, Israel Cidon, Moshe Sidi |
One-way delay estimation using network-wide measurements. |
IEEE Trans. Inf. Theory |
2006 |
DBLP DOI BibTeX RDF |
network measurements, maximum entropy, delay estimation, mathematical optimization, one-way delay |
23 | Yasuyoshi Yokokohji, Teruhiro Tsujioka, Tsuneo Yoshikawa |
Bilateral Control with Time-Varying Delay Including Communication Blackout. |
Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems |
2002 |
DBLP DOI BibTeX RDF |
master-slave manipulator, the Internet, computer network, teleoperation, time delay, time-varying delay, bilateral control |
23 | Marwan A. Gharaybeh, Michael L. Bushnell, Vishwani D. Agrawal |
Parallel concurrent path-delay fault simulation using single-input change patterns. |
VLSI Design |
1996 |
DBLP DOI BibTeX RDF |
concurrent path-delay fault simulation, single-input change patterns, singly-testable path-delay faults, random values, rising transitions, falling transitions, sixteen-valued algebra, machine word parallelism, ISCAS '85 benchmarks, ISCAS '89 benchmarks, parallel algorithms, fault diagnosis, logic testing, delays, Boolean functions, sequential circuits, logic CAD, circuit analysis computing, flip-flops, Boolean operations |
23 | Ankan K. Pramanick, Sudhakar M. Reddy |
Efficient multiple path propagating tests for delay faults. |
J. Electron. Test. |
1995 |
DBLP DOI BibTeX RDF |
delay testing, path delay faults, robust tests, test efficiency |
23 | Huaguang Zhang, Zhanshan Wang |
New delay-dependent criterion for the stability of recurrent neural networks with time-varying delay. |
Sci. China Ser. F Inf. Sci. |
2009 |
DBLP DOI BibTeX RDF |
recurrent neural networks, linear matrix inequality (LMI), time-varying delay, global asymptotic stability |
23 | Chien-Yu Lu, Hsun-Heng Tsai, Te-Jen Su, Jason Sheng-Hong Tsai, Chin-Wen Liao |
A Delay-Dependent Approach to Passivity Analysis for Uncertain Neural Networks with Time-varying Delay. |
Neural Process. Lett. |
2008 |
DBLP DOI BibTeX RDF |
Passivity analysis, Delay dependence, Neural networks, Linear matrix inequality |
23 | Zhan Shu, James Lam |
Delay-Dependent Exponential Estimates of Stochastic Neural Networks with Time Delay. |
ICONIP (1) |
2006 |
DBLP DOI BibTeX RDF |
exponential estimates, linear matrix inequalities (LMIs), time delay, stochastic neural networks |
23 | Magdy A. El-Moursy, Eby G. Friedman |
Optimum wire sizing of RLC interconnect with repeaters. |
ACM Great Lakes Symposium on VLSI |
2003 |
DBLP DOI BibTeX RDF |
power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect |
23 | Byungwoo Choi, D. M. H. Walker |
Timing Analysis of Combinational Circuits Including Capacitive Coupling and Statistical Process Variation. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
interconnect coupling, delay fault model, process variation, timing analysis, delay fault test |
23 | Ivan Vaghi, Chris Greenhalgh, Steve Benford |
Coping with inconsistency due to network delays in collaborative virtual environments. |
VRST |
1999 |
DBLP DOI BibTeX RDF |
perception of delay, distributed systems, user interfaces, consistency, CVEs, collaborative virtual environments, transparency, network delay |
23 | Patrick Vuillod, Luca Benini, Giovanni De Micheli |
Generalized matching from theory to application. |
ICCAD |
1997 |
DBLP DOI BibTeX RDF |
MCNC 91 benchmark suite, algorithmic optimization, generalized matching, library cells, multi-output network, post-mapping optimization, unconstrained delay minimization, logic CAD, power minimization, delay constraints, area minimization, Boolean relation |
23 | Chi-Hong Hwang, Allen C.-H. Wu |
A predictive system shutdown method for energy saving of event-driven computation. |
ICCAD |
1997 |
DBLP DOI BibTeX RDF |
VLSI circuit design, delay overhead, event-driven computation, exponential-average approach, low delay penalties, pre-wakeup, prediction-miss correction, predictive system shutdown method, sleep mode operations, system-level power management, VLSI, finite state machine, logic CAD, energy saving, power saving, hit ratio, idle period |
23 | Zhaohui Yan 0002, Yih-Chyun Jenq |
Performance Analysis of a Banyan-Based ATM Switching Fabric with Packet Priority. |
LCN |
1996 |
DBLP DOI BibTeX RDF |
Banyan based ATM switching fabric, packet priority, single-buffered Banyan network, three-state Markov chain model, uniform traffic, blocked packet routing, fully loaded six-stage switch, simulation, performance analysis, asynchronous transfer mode, delay, statistical analysis, throughput, analytical model, contention, delay variation |
23 | Jonathan L. Wang |
Impact of self-similarity on the go-back-N ARQ protocols. |
ICCCN |
1995 |
DBLP DOI BibTeX RDF |
go-back-N ARQ protocols, packet traffic, fractal properties, self-similar properties, link-layer error control mechanism, maximum throughput efficiency, Gilbert's 2-state error mode, parameter matching technique, frame transmission time, network engineering, delay, self-similarity, automatic repeat request, propagation delay, burstiness |
23 | Maria C. Yuang, Yu G. Chen, M. T. Yen |
Optimal multicast routing for ATM networks. |
LCN |
1995 |
DBLP DOI BibTeX RDF |
optimal multicast routing algorithm, LOPT, load-sensitive networks, delay-sensitive, performance evaluation, computational complexity, computational complexity, asynchronous transfer mode, ATM networks, multicast routing, telecommunication network routing, multicast communication, delay bound |
23 | Ashok K. Agrawala, Bijendra N. Jain |
Deterministic Model and Transient Analysis of Virtual Circuits. |
IEEE Trans. Software Eng. |
1993 |
DBLP DOI BibTeX RDF |
transit delay, tandem of servers, transit time constraints, minimum transit delay, opensystems, delays, congestion control, computer networks, computer networks, throughput, transient analysis, FIFO, virtual circuits, service times, maximum throughput, deterministic model |
22 | Nesrine Ouferhat, Abdelhamid Mellouk |
Inductive routing based on energy and delay metrics in wireless sensor networks. |
IWCMC |
2010 |
DBLP DOI BibTeX RDF |
multi criteria routing optimization, state dependent algorithm, QoS, Delay, energy consumption |
22 | S. Mostafa Mostafavi, Ehsan Hamadani, Rahim Tafazolli |
Delay minimization in multipath routing. |
IWCMC |
2010 |
DBLP DOI BibTeX RDF |
routing, traffic control, delay minimization |
22 | Shanq-Jang Ruan, Tsang-Chi Kan, Jih-Chieh Hsu |
A novel crosstalk quantitative approach for simultaneously reducing power, noise, and delay based on bus-invert encoding schemes. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
bus-invert, coupling, interconnect delay |
22 | Rachid El Azouzi, Sujit Kumar Samanta, Essaid Sabir, Ralph El Khoury |
Asymptotic Delay Analysis and Timeout-Based Admission Control for Ad Hoc Wireless Networks. |
ADHOC-NOW |
2009 |
DBLP DOI BibTeX RDF |
Cross-layer mechanism, Wireless ad hoc network, MAC protocols, End-to-end delay, Embedded Markov chain, G/G/1 queue |
22 | Dukgwon Lee, Seunghyun Beak, Youngmin Lee, Eunser Lee, Jungkook Kim, Gyung-Leen Park, Taikyeong Jeong |
Minimize the delay of parasitic capacitance and modeling in RLC circuit. |
ICHIT |
2009 |
DBLP DOI BibTeX RDF |
Elmore, parasitic capacitance, delay, interconnection, oscillator |
22 | Tae-Eung Sung |
Near-optimal delay-constrained MLR scheduler for multimedia embedded wireless channels. |
IWCMC |
2009 |
DBLP DOI BibTeX RDF |
modified-linear-rule (MLR) scheduler, multiuser wireless fading channels, queueing delay and power constraints, quality of service (QoS) |
22 | Wenbo He, Klara Nahrstedt, Xue Liu 0001 |
End-to-end delay control of multimedia applications over multihop wireless links. |
ACM Trans. Multim. Comput. Commun. Appl. |
2008 |
DBLP DOI BibTeX RDF |
End-to-end delay QoS, wireless ad hoc networks |
22 | Yoshinori Kitatsuji, Masato Tsuru, Tetsuya Takine, Yuji Oie |
Flow assignment method with traffic characteristics over multiple paths for reducing queuing delay. |
Telecommun. Syst. |
2008 |
DBLP DOI BibTeX RDF |
Flow assignment, Traffic engineering, Queuing delay, Traffic characteristics |
22 | Ahmad Al Hanbali, Roland de Haan, Richard J. Boucherie, Jan-Kees C. W. van Ommeren |
A Tandem Queueing Model for Delay Analysis in Disconnected Ad Hoc Networks. |
ASMTA |
2008 |
DBLP DOI BibTeX RDF |
Tandem queueing model, Autonomous server, Ad hoc networks, Performance analysis, Delay-tolerant networking |
22 | Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas |
Process Variation Aware Bus-Coding Scheme for Delay Minimization in VLSI Interconnects. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
bus coding, delay, process variation |
22 | Jason R. Chen |
Making clustering in delay-vector space meaningful. |
Knowl. Inf. Syst. |
2007 |
DBLP DOI BibTeX RDF |
Delay space, Sequential time series clustering, STS clustering, Clustering, Time series |
22 | K. S. Sainarayanan, Chittarsu Raghunandan, M. B. Srinivas |
Bus encoding schemes for minimizing delay in VLSI interconnects. |
SBCCI |
2007 |
DBLP DOI BibTeX RDF |
bus encoding technique, crosstalk class, delay, encoder, decoder, VLSI interconnects |
22 | Pinata Winoto, Gordon I. McCalla, Julita Vassileva |
Strategic delay in bargaining. |
AAMAS |
2007 |
DBLP DOI BibTeX RDF |
strategic delay, multiagent systems, bargaining |
22 | Veronique Inghelbrecht, Bart Steyaert, Sabine Wittevrongel, Herwig Bruneel |
Burst loss and delay in optical buffers with offset-time management. |
Telecommun. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Fiber delay lines, Offset time, Scheduling horizon, Quality of service, Optical buffers |
22 | Weijia Jia 0001, Hanxing Wang, Wanqing Tu, Wei Zhao 0001 |
A New delay control method for real-time flows. |
J. Comb. Optim. |
2006 |
DBLP DOI BibTeX RDF |
Worst-case delay bound, Traffic regulation and control, Multiplexer and regulated networks, Real-time communication, QoS guarantee |
22 | Khaled A. Harras, Kevin C. Almeroth |
Transport Layer Issues in Delay Tolerant Mobile Networks. |
Networking |
2006 |
DBLP DOI BibTeX RDF |
Reliability, Mobile Networks, Delay Tolerant Networks |
22 | Brian Carrig, David Denieffe, John Murphy 0001 |
A relative delay minimization scheme for multiplayer gaming in differentiated services networks. |
NETGAMES |
2006 |
DBLP DOI BibTeX RDF |
relative delay, QoS, diffserv, network games |
22 | Sinam Woo, Woojin Park, Younghwan Jung, Sunshin An, Dongho Kim |
Throughput and Delay Analysis Considering Packet Arrival in IEEE 802.11. |
ICDCIT |
2006 |
DBLP DOI BibTeX RDF |
WLAN, Wireless, Delay, Throughput |
22 | Kenichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, Takao Onoye |
A gate delay model focusing on current fluctuation over wide-range of process and environmental variability. |
ICCAD |
2006 |
DBLP DOI BibTeX RDF |
gate delay model, variability, static timing analysis, statistical timing analysis |
22 | Gagan Raj Gupta, Madhur Gupta, Preeti Ranjan Panda |
Rapid estimation of control delay from high-level specifications. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
control delay, high level synthesis, estimation, FSM |
22 | Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell |
Delay Fault Testing of Look-Up Tables in SRAM-Based FPGAs. |
J. Electron. Test. |
2005 |
DBLP DOI BibTeX RDF |
look-up table (LUT), FPGA, test, delay fault |
22 | Mun Choon Chan, Ramachandran Ramjee |
TCP/IP Performance over 3G Wireless Links with Rate and Delay Variation. |
Wirel. Networks |
2005 |
DBLP DOI BibTeX RDF |
3G wireless, link delay and rate variation, throughput model, ack regulator, TCP |
22 | Baris Taskin, Ivan S. Kourtev |
Delay insertion method in clock skew scheduling. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
delay insertion, re-convergent paths, optimization, linear programming, clock skew |
22 | Baek-Young Choi, Sue B. Moon, Rene L. Cruz, Zhi-Li Zhang, Christophe Diot |
Practical delay monitoring for ISPs. |
CoNEXT |
2005 |
DBLP DOI BibTeX RDF |
delay, performance monitoring, active probing |
22 | Habib M. Ammari, Sajal K. Das 0001 |
Trade-off between energy savings and source-to-sink delay in data dissemination for wireless sensor networks. |
MSWiM |
2005 |
DBLP DOI BibTeX RDF |
source-to-sink delay, wireless sensor networks, energy savings |
22 | Arijit Raychowdhury, Swaroop Ghosh, Kaushik Roy 0001 |
A Novel On-Chip Delay Measurement Hardware for Efficient Speed-Binning. |
IOLTS |
2005 |
DBLP DOI BibTeX RDF |
Speed binning, delay measurement hardware, process variation |
22 | Yibo Wang, Yici Cai, Xianlong Hong |
A Fast Buffered Routing Tree Construction Algorithm under Accurate Delay Model. |
VLSI Design |
2005 |
DBLP DOI BibTeX RDF |
accurate delay model, obstacle-aware routing, buffer insertion, interconnect optimization |
22 | Hagit Attiya, David Hay |
Randomization does not reduce the average delay in parallel packet switches. |
SPAA |
2005 |
DBLP DOI BibTeX RDF |
oblivious adversary, load balancing, packet switching, randomization, clos networks, queuing delay, inverse multiplexing, adaptive adversary |
22 | Weijia Jia 0001, Bo Han 0001, Chuanlin Zhang, Wanlei Zhou 0001 |
Delay Control and Parallel Admission Algorithms for Real-Time Anycast Flow. |
J. Supercomput. |
2004 |
DBLP DOI BibTeX RDF |
anycast flow, delay control, parallel admission algorithm |
22 | Mong-Fong Horng, Yau-Hwang Kuo |
Dynamic Slot Allocation to Control Delay in TDMA Wireless Base Station. |
ISCC |
2003 |
DBLP DOI BibTeX RDF |
Dynamic slot allocation, Delay control, TDMA |
22 | Jon C. R. Bennett, Kent Benson, Anna Charny, William F. Courtney, Jean-Yves Le Boudec |
Delay jitter bounds and packet scale rate guarantee expedited forwarding. |
IEEE/ACM Trans. Netw. |
2002 |
DBLP DOI BibTeX RDF |
expedited forwarding, differentiated services, delay jitter |
22 | Dina Katabi, Mark Handley, Charles E. Rohrs |
Congestion control for high bandwidth-delay product networks. |
SIGCOMM |
2002 |
DBLP DOI BibTeX RDF |
large bandwidth-delay product, congestion control, high-speed networks |
22 | Lothar Pantel, Lars C. Wolf |
On the impact of delay on real-time multiplayer games. |
NOSSDAV |
2002 |
DBLP DOI BibTeX RDF |
user impact, delay, real-time applications, multiplayer games |
22 | Ruchir Puri, David S. Kung 0001, Anthony D. Drumm |
Fast and accurate wire delay estimation for physical synthesis of large ASICs. |
ACM Great Lakes Symposium on VLSI |
2002 |
DBLP DOI BibTeX RDF |
placement driven synthesis, wire delay, estimation, integrated circuit design |
22 | Paul I. Pénzes, Alain J. Martin |
Energy-delay efficiency of VLSI computations. |
ACM Great Lakes Symposium on VLSI |
2002 |
DBLP DOI BibTeX RDF |
energy-delay optimization, transistor sizing |
22 | Paul I. Pénzes, Mika Nyström, Alain J. Martin |
Transistor sizing of energy-delay--efficient circuits. |
Timing Issues in the Specification and Synthesis of Digital Systems |
2002 |
DBLP DOI BibTeX RDF |
energy-delay optimization, transistor sizing |
22 | Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng, Jennifer Dworak, M. Ray Mercer, Rohit Kapur, Thomas W. Williams |
Enhancing test efficiency for delay fault testing using multiple-clocked schemes. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
transition fault model, delay testing, statistical timing analysis |
22 | Takeo Yasuda, Hiroaki Fujita, Hidetoshi Onodera |
A dynamically phase adjusting PLL with a variable delay. |
ASP-DAC |
2001 |
DBLP DOI BibTeX RDF |
phase adjust, variable delay, lock-up, PLL |
22 | Fintan Culwin, Xristine Faulkner |
Browsing the Web: Delay, Determination and Satisfaction. |
HICSS |
2001 |
DBLP DOI BibTeX RDF |
Web, delay, latency, satisfaction |
22 | Anna Charny, Jean-Yves Le Boudec |
Delay Bounds in a Network with Aggregate Scheduling. |
QofIS |
2000 |
DBLP DOI BibTeX RDF |
aggregate scheduling, priority queuing, delay, Diffserv, jitter, FIFO, Guaranteed Service |
22 | Chao-Wen Tseng, Edward J. McCluskey, Xiaoping Shao, David M. Wu |
Cold Delay Defect Screening. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
Manufacturing quality, Reliability, Delay Testing |
Displaying result #301 - #400 of 49999 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|