The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase flip-flops (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1976 (18) 1977-1988 (16) 1989-1993 (17) 1994-1995 (49) 1996 (24) 1997 (21) 1998 (42) 1999 (28) 2000 (24) 2001 (25) 2002 (24) 2003 (35) 2004 (38) 2005 (44) 2006 (44) 2007 (53) 2008 (58) 2009 (27) 2010 (25) 2011 (23) 2012 (19) 2013 (21) 2014 (16) 2015 (20) 2016-2017 (31) 2018-2019 (28) 2020-2021 (26) 2022-2023 (20) 2024 (7)
Publication types (Num. hits)
article(279) data(1) inproceedings(542) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 825 occurrences of 369 keywords

Results
Found 823 publication records. Showing 823 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Gaurav Kumar Bharti, Jayanta Kumar Rakshit Design of all-optical JK, SR and T flip-flops using micro-ring resonator-based optical switch. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Sahel Sahhaf, Abhishek Dixit, Wouter Tavernier, Didier Colle, Mario Pickavet, Piet Demeester All-optical tree-based greedy router using optical logic gates and optical flip-flops. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Arunkumar Vijayan, Saman Kiamehr, Fabian Oboril, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori Workload-Aware Static Aging Monitoring and Mitigation of Timing-Critical Flip-Flops. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Riadul Islam Low-Power Resonant Clocking Using Soft Error Robust Energy Recovery Flip-Flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Inhak Han, Youngsoo Shin Folded Circuit Synthesis: Min-Area Logic Synthesis Using Dual-Edge-Triggered Flip-Flops. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Takeharu Ikezoe, Hideharu Amano, Junya Akaike, Kimiyoshi Usami, Masaru Kudo, Keizo Hiraga, Yusuke Shuto, Kojiro Yagami A Coarse Grained-Reconfigurable Accelerator with energy efficient MTJ-based Non-volatile Flip-flops. Search on Bibsonomy ReConFig The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Chuan Yean Tan, Rickard Ewetz, Cheng-Kok Koh Clustering of flip-flops for useful-skew clock tree synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Mehrdad Biglari, Tobias Lieske, Dietmar Fey High-Endurance Bipolar ReRAM-Based Non-Volatile Flip-Flops with Run-Time Tunable Resistive States. Search on Bibsonomy NANOARCH The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Sandeep Krishna Thirumala, Arnab Raha, Hrishikesh Jayakumar, Kaisheng Ma, Narayanan Vijaykrishnan, Vijay Raghunathan, Sumeet Kumar Gupta Dual Mode Ferroelectric Transistor based Non-Volatile Flip-Flops for Intermittently-Powered Systems. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Leonardo Rezende Juracy, Matheus T. Moreira, Felipe A. Kuentzer, Fernando Gehm Moraes, Alexandre M. Amory An LSSD Compliant Scan Cell for Flip-Flops. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Davide Bellizia, Giuseppe Scotti, Alessandro Trifiletti Secure Implementation of TEL-compatible Flip-Flops using a Standard-Cell Approach. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Mili Ghosh, Debarka Mukhopadhyay, Paramartha Dutta Energy Efficient Designing Approach of Flip-Flops Using 2-Dot 1-Electron QCA. Search on Bibsonomy CICBA (1) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
30Rajendra Bishnoi, Fabian Oboril, Mehdi Baradaran Tahoori Design of Defect and Fault-Tolerant Nonvolatile Spintronic Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Sébastien Ordas, Ludovic Guillaume-Sage, Philippe Maurine Electromagnetic fault injection: the curse of flip-flops. Search on Bibsonomy J. Cryptogr. Eng. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Ushio Jimbo, Junji Yamada, Ryota Shioya, Masahiro Goshima Applying Razor Flip-Flops to SRAM Read Circuits. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Usman Khalid, Antonio Mastrandrea, Mauro Olivieri Effect of NBTI/PBTI Aging and Process Variations on Write Failures in MOSFET and FinFET Flip-Flops. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
30Shiva Taghipour, Rahebeh Niaraki Asli Aging comparative analysis of high-performance FinFET and CMOS flip-flops. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Xueqing Li, Sumitha George, Kaisheng Ma, Wei-Yu Tsai, Ahmedullah Aziz, John Sampson, Sumeet Kumar Gupta, Meng-Fan Chang, Yongpan Liu, Suman Datta, Vijaykrishnan Narayanan Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Yunpeng Cai, Anand Savanth, Pranay Prabhat, James Myers, Alex S. Weddell, Tom J. Kazmierski Evaluation and analysis of single-phase clock flip-flops for NTV applications. Search on Bibsonomy PATMOS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Masaru Kudo, Kimiyoshi Usami Nonvolatile power gating with MTJ based nonvolatile flip-flops for a microprocessor. Search on Bibsonomy NVMSA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Arunkumar Vijayan, Saman Kiamehr, Fabian Oboril, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori Workload-aware static aging monitoring of timing-critical flip-flops. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Sachin Maheshwari, Vivian A. Bartlett, Izzet Kale Adiabatic flip-flops and sequential circuit design using novel resettable adiabatic buffers. Search on Bibsonomy ECCTD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Mehrdad Biglari, Dietmar Fey Memristive voltage divider: a bipolar ReRAM-based unit for non-volatile flip-flops. Search on Bibsonomy MEMSYS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Chinmay Deshpande, Bilgiday Yuce, Leyla Nazhandali, Patrick Schaumont Employing dual-complementary flip-flops to detect EMFI attacks. Search on Bibsonomy AsianHOST The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Giorgio Di Natale, Maha Kooli, Alberto Bosio, Michele Portolan, Régis Leveugle Reliability of computing systems: From flip flops to variables. Search on Bibsonomy IOLTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Yuwen Dave Lin, Charles H.-P. Wen, Herming Chiueh Radiation-Hardened Designs for Soft-Error-Rate Reduction by Delay-Adjustable D-Flip-Flops. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Hadi Ahmadi Balef, Hailong Jiao, José Pineda de Gyvez, Kees Goossens An analytical model for interdependent setup/hold-time characterization of flip-flops. Search on Bibsonomy ISQED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Xijiang Lin On applying scan based structural test for designs with dual-edge triggered flip-flops. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Jasmine Kaur Gulati, Bhanu Prakash, Sumit Jagdish Darak An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction. Search on Bibsonomy VDAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
30Niranjan Kulkarni, Jinghua Yang, Jae-sun Seo, Sarma B. K. Vrudhula Reducing Power, Leakage, and Area of Standard-Cell ASICs Using Threshold Logic Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Liang Geng, Jizhong Shen, Congyuan Xu Design of flip-flops with clock-gating and pull-up control scheme for power-constrained and speed-insensitive applications. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Bingbing Xia, Jun Wu, Hongjin Liu, Kai Zhou, Zhifu Miao Design and Comparison of High-Reliable Radiation-Hardened Flip-Flops Under SMIC 40nm Process. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Sebastien Bernard, Marc Belleville, Jean-Didier Legat, Alexandre Valentian, David Bol Ultra-wide voltage range pulse-triggered flip-flops and register file with tunable energy-delay target in 28 nm UTBB-FDSOI. Search on Bibsonomy Microelectron. J. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Myeong-Eun Hwang, Sungoh Kwon DPFFs: C2MOS Direct Path Flip-Flops for Process-Resilient Ultradynamic Voltage Scaling. Search on Bibsonomy J. Electr. Comput. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Mahendra Sakare, Sadhu Pavan Kumar, Shalabh Gupta Bandwidth Enhancement of Flip-Flops Using Feedback for High-Speed Integrated Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Stepan Lapshev, S. M. Rezaul Hasan New Low Glitch and Low Power DET Flip-Flops Using Multiple C-Elements. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Takeo Katayama, Kazuya Nakao, Daisuke Hayashi, Hitoshi Kawaguchi Flip-flops using polarization bistable VCSEL with AND-gate functionality by two wavelength inputs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Xunzhao Yin, Behnam Sedighi, Michael T. Niemier, Xiaobo Sharon Hu Design of latches and flip-flops using emerging tunneling devices. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
30Ghaith Tarawneh, Andrey Mokhov, Alex Yakovlev Formal verification of clock domain crossing using gate-level models of metastable flip-flops. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
30Hyoungseok Moon, Taewhan Kim Design and allocation of loosely coupled multi-bit flip-flops for power reduction in post-placement optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Dongyoun Yi, Taewhan Kim Allocation of multi-bit flip-flops in logic synthesis for power optimization. Search on Bibsonomy ICCAD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Naoya Onizawa, Takahiro Hanyu Redundant STT-MTJ-based nonvolatile flip-flops for low write-error-rate operations. Search on Bibsonomy NEWCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Nenad Jovanovic, Olivier Thomas, Elisa Vianello, Bosko Nikolic, Lirida A. B. Naviner Design considerations for reliable OxRAM-based non-volatile flip-flops in 28nm FD-SOI technology. Search on Bibsonomy ISCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
30Shaahin Angizi, Samira Sayedsalehi, Arman Roohi, Nader Bagherzadeh, Keivan Navi Design and Verification of New n-Bit Quantum-Dot Synchronous Counters Using Majority Function-Based JK Flip-Flops. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Ramin Razmdideh, Mohsen Saneei Two novel low power and very high speed pulse triggered flip-flops. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Ruiqiang Song, Shuming Chen, Yibai He, Yankang Du Flip-flops soft error rate evaluation approach considering internal single-event transient. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Debarka Mukhopadhyay, Paramartha Dutta A study on energy optimized 4 dot 2 electron two dimensional quantum dot cellular automata logical reversible flip-flops. Search on Bibsonomy Microelectron. J. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Usman Khalid, Antonio Mastrandrea, Mauro Olivieri Effect of NBTI/PBTI aging and process variations on write failures in MOSFET and FinFET flip-flops. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo Variations in Nanometer CMOS Flip-Flops: Part II - Energy Variability and Impact of Other Sources of Variations. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo Variations in Nanometer CMOS Flip-Flops: Part I - Impact of Process Variations on Timing. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Vladimir Petrovic, Milos Krstic Design Flow for Radhard TMR Flip-Flops. Search on Bibsonomy DDECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Alexandra L. Zimpeck, Fernanda Lima Kastensmidt, Ricardo Reis 0001 Analyzing the Impact of Frequency and Diverse Path Delays in the Time Vulnerability Factor of Master-Slave D Flip-Flops. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Mini Jayakrishnan, Alan Chang, José Pineda de Gyvez, Tae-Hyoung Kim Slack-aware timing margin redistribution technique utilizing error avoidance flip-flops and time borrowing. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Jerome Cox, George Engel, David M. Zar, Ian W. Jones Synchronizers and Data Flip-Flops are Different. Search on Bibsonomy ASYNC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Gaetano Palumbo, Elio Consoli PVT variations in differential flip-flops: A comparative analysis. Search on Bibsonomy ECCTD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Ali Asghar Vatanjou, Trond Ytterdal, Snorre Aunet 4 Sub-/near-threshold flip-flops with application to frequency dividers. Search on Bibsonomy ECCTD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Alexandro Giron-Allende, Victor Avendaño, Esteban Martinez Guerrero A design methodology using flip-flops controlled by PVT variation detection. Search on Bibsonomy LASCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo Comparative analysis of the robustness of master-slave flip-flops against variations. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Osama Abdelkader, Hassan Mostafa, Hamdy Abd Elhamid, Ahmed M. Soliman Impact of technology scaling on the minimum energy point for FinFET based flip-flops. Search on Bibsonomy ICECS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Gaetano Palumbo, Elio Consoli Variability budgetin pulsed flip-flops. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Patrick Nsengiyumva, Qiaoyan Yu Investigation of single-event upsets in dynamic logic based flip-flops. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Mohammad Saber Golanbari, Saman Kiamehr, Mehdi Baradaran Tahoori, Sani R. Nassif Analysis and optimization of flip-flops under process and runtime variations. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Yasmin Ammar, Sadok Bdiri, Faouzi Derbel An ultra-low power wake up receiver with flip flops based address decoder. Search on Bibsonomy SSD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
30Christoph Werner, Benedikt Backs, Martin Wirnshofer, Doris Schmitt-Landsiedel Resilience and yield of flip-flops in future CMOS technologies under process variations and aging. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Jitendra Kanungo, S. Dasgupta Sinusoidal Clocked Sense-amplifier-Based Energy Recovery flip-Flops. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Mariam Zomorodi Moghadam, Keivan Navi, Mahmood Kalemati A novel reversible design for double edge triggered flip-flops and new designs of reversible sequential circuits. Search on Bibsonomy Comput. Syst. Sci. Eng. The full citation details ... 2014 DBLP  BibTeX  RDF
30P. A. Gowri Sankar, K. Udhayakumar Ternary Flip-Flops Based on Emerging Sub-32 nm Technology Nodes. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Shmuel Wimer, Arye Albahari A Look-Ahead Clock Gating Based on Auto-Gated Flip-Flops. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Sebastien Bernard, Marc Belleville, Alexandre Valentian, Jean-Didier Legat, David Bol Experimental analysis of flip-flops minimum operating voltage in 28nm FDSOI and the impact of back bias and temperature. Search on Bibsonomy PATMOS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Usman Khalid, Antonio Mastrandrea, Mauro Olivieri Combined Impact of NBTI Aging and Process Variations on Noise Margins of Flip-Flops. Search on Bibsonomy DSD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Edward Jung, Chih-Cheng Hung, Seonho Choi FSM watermarks based on ordering of flip flops. Search on Bibsonomy RACS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Magne Voernes, Trond Ytterdal, Snorre Aunet Performance comparison of 5 subthreshold CMOS flip-flops under process-, voltage-, and temperature variations, based on netlists from layout. Search on Bibsonomy NORCHIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Marco Lanuzza, Ramiro Taco Improving speed and power characteristics of pulse-triggered flip-flops. Search on Bibsonomy LASCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Masashi Imai, Tomohiro Yoneda Multiple-clock multiple-edge-triggered multiple-bit flip-flops for two-phase handshaking asynchronous circuits. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Mahendra Sakare, Shalabh Gupta A high-speed PRBS generator using flip-flops employing feedback for distributed equalization. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera Design methodology of process variation tolerant D-Flip-Flops for low voltage circuit operation. Search on Bibsonomy SoCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Sandeep Shambhulingaiah, Srivatsan Chellappa, Sushil Kumar, Lawrence T. Clark Methodology to optimize critical node separation in hardened flip-flops. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Seyed Ebrahim Esmaeili, Asim J. Al-Khalili 10 GHz throughput FinFET dual-edge triggered flip-flops. Search on Bibsonomy CCECE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Hao Cai, Kaikai Liu, Lirida Alves de Barros Naviner Reliability-aware delay faults evaluation of CMOS flip-flops. Search on Bibsonomy MIXDES The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
30Ajay N. Bhoj, Niraj K. Jha Design of Logic Gates and Flip-Flops in High-Performance FinFET Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Ya-Ting Shyu, Jai-Ming Lin, Chun-Po Huang, Cheng-Wu Lin, Ying-Zu Lin, Soon-Jyh Chang Effective and Efficient Approach for Power Reduction by Using Multi-Bit Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Naoya Okada, Yuichi Nakamura 0002, Shinji Kimura Write Control Method for Nonvolatile Flip-Flops Based on State Transition Analysis. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Keisuke Inoue, Mineo Kaneko Heuristic and Exact Resource Binding Algorithms for Storage Optimization Using Flip-Flops and Latches. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Hamed Abrishami, Safar Hatami, Massoud Pedram Design and Multicorner Optimization of the Energy-Delay Product of CMOS Flip-Flops Under the Negative Bias Temperature Instability Effect. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Marco Lanuzza A Simple Circuit Approach to Improve Speed and Power Consumption in Pulse-Triggered Flip-Flops. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Cicero Nunes, Paulo F. Butzen, André Inácio Reis, Renato P. Ribas BTI, HCI and TDDB aging impact in flip-flops. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Sheng Yang 0003, S. Saqib Khursheed, Bashir M. Al-Hashimi, David Flynn, Geoff V. Merrett Improved State Integrity of Flip-Flops for Voltage Scaled Retention Under PVT Variation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Ramen Dutta, Eric A. M. Klumperink, Xiang Gao 0002, Zhiyu Ru, Ronan A. R. van der Zee, Bram Nauta Flip-Flops for Accurate Multiphase Clocking: Transmission Gate Versus Current Mode Logic. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Xiaowen Wang, William H. Robinson Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Mohamed O. Shaker, Magdy A. Bayoumi Novel clock gating techniques for low power flip-flops and its applications. Search on Bibsonomy MWSCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Sébastien Sarrazin, Samuel Evain, Lirida Alves de Barros Naviner, Yannick Bonhomme, Valentin Gherman Scan design with shadow flip-flops for low performance overhead and concurrent delay fault detection. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Cicero Nunes, Paulo F. Butzen, André Inácio Reis, Renato P. Ribas A methodology to evaluate the aging impact on flip-flops performance. Search on Bibsonomy SBCCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Taehui Na, Kyungho Ryu, Jisu Kim, Seung-Hyuk Kang, Seong-Ook Jung A comparative study of STT-MTJ based non-volatile flip-flops. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Min-Su Kim, Hyoungwook Lee, Jin-Soo Park, Chunghee Kim, Juhyun Kang, Ken Shin, Emil Kagramanyan, Gunok Jung, Ukrae Cho, Youngmin Shin, Jae-Cheol Son Scan-controlled pulse flip-flops for mobile application processors. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Sebastien Bernard, Alexandre Valentian, Marc Belleville, David Bol, Jean-Didier Legat An efficient metric of setup time for pulsed flip-flops based on output transition time. Search on Bibsonomy ICICDT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Inhak Han, Youngsoo Shin Folded circuit synthesis: Logic simplification using dual edge-triggered flip-flops. Search on Bibsonomy ICICDT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Adrian Evans, Michael Nicolaidis, Shi-Jie Wen, Thiago Asis Clustering techniques and statistical fault injection for selective mitigation of SEUs in flip-flops. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Hao-Wen Hsu, Shih-Hua Kuo, Wen-Hsiang Chang, Shi-Hao Chen, Ming-Tung Chang, Mango Chia-Tso Chao Testing retention flip-flops in power-gated designs. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Guoqiang Hang, Xiaohui Hu, Danyan Zhang, Yang Yang 0013, Xiaohu You 0001 Novel Differential Flip-Flops Using Neuron-MOS Transistors. Search on Bibsonomy DASC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
30Guoqiang Hang, Xiaohui Hu, Hongli Zhu, Xiaohu You 0001 Differential Edge-Triggered Flip-Flops Using Neuron-MOS Transistors. Search on Bibsonomy CIS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 823 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license