The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1989 (24) 1990-1992 (19) 1993-1994 (17) 1995-1996 (17) 1997-1998 (19) 1999 (16) 2000 (18) 2001 (16) 2002 (25) 2003 (27) 2004 (37) 2005 (39) 2006 (47) 2007 (44) 2008 (31) 2009 (27) 2010-2012 (18) 2013-2015 (23) 2016 (16) 2017-2018 (17) 2019-2020 (23) 2021-2022 (27) 2023 (21) 2024 (5)
Publication types (Num. hits)
article(180) incollection(10) inproceedings(381) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 322 occurrences of 191 keywords

Results
Found 573 publication records. Showing 573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Kotaro Terada, Masao Yanagisawa, Nozomu Togawa A floorplan-driven high-level synthesis algorithm with multiple-operation chainings based on path enumeration. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Gaurav Narang, Alexander Fell, Prakhar Raj Gupta, Anuj Grover Floorplan and congestion aware framework for optimal SRAM selection for memory subsystems. Search on Bibsonomy SoCC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Shuo Wang, Yue Gao, Melvin A. Breuer GlYFF: A framework for global yield and floorplan aware design optimization. Search on Bibsonomy ISQED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Zhehui Wang, Jiang Xu 0001, Xiaowen Wu, Yaoyao Ye, Wei Zhang 0012, Mahdi Nikdast, Xuan Wang 0001, Zhe Wang 0003 Floorplan Optimization of Fat-Tree-Based Networks-on-Chip for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Jackey Z. Yan, Natarajan Viswanathan, Chris Chu An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Chao Lu, Shaoli Liu, Lei Wang 0015, Longbing Zhang, Meng Wen Archipelago: A Floorplan Optimized for Concurrent Multiple Applications on Network-on-Chip. Search on Bibsonomy NAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Ricardo Cabral, Yasutaka Furukawa Piecewise Planar and Compact Floorplan Reconstruction from Images. Search on Bibsonomy CVPR The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Kotaro Terada, Masao Yanagisawa, Nozomu Togawa A floorplan-driven high-level synthesis algorithm with operation chainings using chaining enumeration. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Koichi Fujiwara, Shin-ya Abe, Kazushi Kawamura, Masao Yanagisawa, Nozomu Togawa A floorplan-aware high-level synthesis algorithm for multiplexer reduction targeting FPGA designs. Search on Bibsonomy APCCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Vivek S. Nandakumar, Malgorzata Marek-Sadowska System-Level Floorplan-Aware Analysis of Integrated CPU-GPUs. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
18Shin-ya Abe, Youhua Shi, Kimiyoshi Usami, Masao Yanagisawa, Nozomu Togawa Floorplan Driven Architecture and High-Level Synthesis Algorithm for Dynamic Multiple Supply Voltages. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Kai Feng, Yaoyao Ye, Jiang Xu 0001 A formal study on topology and floorplan characteristics of mesh and torus-based optical networks-on-chip. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Yifei Jiang, Xiang Yun, Xin Pan, Kun Li, Qin Lv, Robert P. Dick, Li Shang, Michael Hannigan Hallway based automatic indoor floorplan construction using room fingerprints. Search on Bibsonomy UbiComp The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Ahmad Movahedian Attar, M. Hamed Izadi, Maedeh Movahedian, Shantia Yarahmadian Coverage Estimation in Floorplan Visual Sensor Networks. Search on Bibsonomy BWCCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Debora Matos, Cezar Reinbrecht, Márcio Eduardo Kreutz, Gianluca Palermo, Luigi Carro, Altamiro Amadeu Susin Hierarchical and Multiple Switching NoC with Floorplan Based Adaptability. Search on Bibsonomy ARC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Minoru Iizuka, Hiroshi Saito A floorplan method for ASIC designs of asynchronous circuits with bundled-data implementation. Search on Bibsonomy NEWCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
18Guoming Lai 0002, Xiaola Lin Floorplan-aware application-specific network-on-chip topology synthesis using genetic algorithm technique. Search on Bibsonomy J. Supercomput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Dhiraj, Seema Verma, Rajesh Kumar 0002, Himanshu Choudhary A enhanced algorithm for floorplan design using evolutionary technique. Search on Bibsonomy Artif. Intell. Res. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Hiroshi Tezuka, Kunihiro Fujiyoshi An efficient solution space for floorplan of 3D-LSI. Search on Bibsonomy ICECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Debora Matos, Cezar Reinbrecht, Gianluca Palermo, Jonathan Martinelli, Altamiro Amadeu Susin, Cristina Silvano, Luigi Carro Floorplan-aware hierarchical NoC topology with GALS interfaces. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Ignacio Arnaldo, Alessandro Vincenzi, José Luis Ayala, José Luis Risco-Martín, José Ignacio Hidalgo, Martino Ruggiero, David Atienza Fast and scalable temperature-driven floorplan design in 3D MPSoCs. Search on Bibsonomy LATW The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Antoni Roca 0001, Carles Hernández 0001, José Flich, Federico Silla, José Duato Enabling High-Performance Crossbars through a Floorplan-Aware Design. Search on Bibsonomy ICPP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
18Dong Oh Son, Young Jin Park, Jin Woo Ahn, Jaehyung Park, Jong-Myon Kim, Cheol Hong Kim Thermal-Aware Floorplan Schemes for Reliable 3D Multi-core Processors. Search on Bibsonomy ICCSA (2) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Jaeha Kung, Inhak Han, Sachin S. Sapatnekar, Youngsoo Shin Thermal signature: a simple yet accurate thermal index for floorplan optimization. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
18Asma Kahoul, Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung Efficient Heterogeneous Architecture Floorplan Optimization using Analytical Methods. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Qiang Ma 0002, Evangeline F. Y. Young Multivoltage Floorplan Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Li Li, Yuchun Ma, Ning Xu 0006, Yu Wang 0002, Xianlong Hong PS-FPG: pattern selection based co-design of floorplan and power/ground network with wiring resource optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Paul Falkenstern, Yuan Xie 0001, Yao-Wen Chang, Yu Wang 0002 Three-dimensional integrated circuits (3D IC) floorplan and power/ground network co-synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Akira Ohchi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki Performance-driven high-level synthesis with floorplan for GDR architectures and its evaluation. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Hiroshi Saito, Naohiro Hamada, Tomohiro Yoneda, Takashi Nanya A floorplan method for asynchronous circuits with bundled-data implementation on FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Xianwu Xing, Ching-Chuen Jong Floorplan-Driven Multivoltage High-Level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Steve T. W. Lai, Evangeline F. Y. Young, Chris C. N. Chu Handling routability in floorplan design with twin binary trees. Search on Bibsonomy Integr. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Jun-hee Yoo, Sungjoo Yoo, Kiyoung Choi Topology/Floorplan/Pipeline Co-Design of Cascaded Crossbar Bus. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Akira Ohchi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki Floorplan-Aware High-Level Synthesis for Generalized Distributed-Register Architectures. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Pritha Banerjee 0001, Susmita Sur-Kolay, Arijit Bishnu Fast Unified Floorplan Topology Generation and Sizing on Heterogeneous FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Hariharan Sankaran, Srinivas Katkoori Floorplan Driven High Level Synthesis for Crosstalk Noise Minimization in Macro-cell Based Designs. Search on Bibsonomy ISVLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Chia-Pin R. Liu Floorplan Optimization for Hierarchical VLSI Design. Search on Bibsonomy CAINE The full citation details ... 2009 DBLP  BibTeX  RDF
18Ruzica Jevtic, Carlos Carreras, Vukasin Pejovic Floorplan-based FPGA interconnect power estimation in DSP circuits. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, low power, interconnects, power estimation
18Hidenori Ohta, Kunihiro Fujiyoshi Representation of 3D-LSI Floorplan based on Stacked-rectangular-dissection. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Mohammad Reza Kakoee, Federico Angiolini, Srinivasan Murali, Antonio Pullini, Ciprian Seiculescu, Luca Benini A floorplan-aware interactive tool flow for NoC design and synthesis. Search on Bibsonomy SoCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Evangeline F. Y. Young Floorplan Representations. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Tung-Chieh Chen, Yao-Wen Chang Packing Floorplan Representations. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Evangeline F. Y. Young Slicing Floorplan Orientation. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yoji Kajitani Floorplan and Placement. Search on Bibsonomy Encyclopedia of Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Akira Ohchi, Shunitsu Kohara, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki Floorplan-Driven High-Level Synthesis for Distributed/Shared-Register Architectures. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Xiaoyi Wang, Jin Shi, Yici Cai, Xianlong Hong Early Stage Power Supply Planning: A Heuristic Method for Codesign of Power/Ground Network and Floorplan. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Toshihiko Takahashi, Ryo Fujimaki Fujimaki-Takahashi Squeeze: Linear Time Construction of Constraint Graphs of Floorplan for a Given Permutation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18G. E. Livesey, H. A. Donegan Using classical graph theory to generate non-isomorphic floorplan distributions in the measurement of egress complexity. Search on Bibsonomy Math. Comput. Model. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Abheek Saha A fast and efficient two-dimensional frame composer for OFDMA WiMAX base-stations using floorplan slicing. Search on Bibsonomy EW The full citation details ... 2008 DBLP  BibTeX  RDF
18Chao-Hung Lu, Hung-Ming Chen, Chien-Nan Jimmy Liu On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Maolin Tang, Raymond Y. K. Lau A Parallel Genetic Algorithm for Floorplan Area Optimization. Search on Bibsonomy ISDA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Chi-Yi Yeh, Hung-Ming Chen, Li-Da Huang, Wei-Ting Wei, Chao-Hung Lu, Chien-Nan Jimmy Liu Using power gating techniques in area-array SoC floorplan design. Search on Bibsonomy SoCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Lin Yu Tseng, Tuan-Yung Han A Genetic Local Search Algorithm for the Floorplan Problem with Boundary Constraints. Search on Bibsonomy GEM The full citation details ... 2007 DBLP  BibTeX  RDF
18Charles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Cliff C. N. Sze Accurate estimation of global buffer delay within a floorplan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Lei Cheng 0001, Martin D. F. Wong Floorplan Design for Multimillion Gate FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yosuke Kimura, Kenichi Ida Improved genetic algorithm for VLSI floorplan design with non-slicing structure. Search on Bibsonomy Comput. Ind. Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shengqi Yang, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001 Reliability-Aware SOC Voltage Islands Partition and Floorplan. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hiroshi Ninomiya, Kimihiko Numayama, Hideki Asai Two-staged Tabu Search for Floorplan Problem Using O-Tree Representation. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jin-Tai Yan, Zhi-Wei Chen, Ming-Yuen Wu Area-Driven White Space Distribution for Detailed Floorplan Design. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ming-Ching Lu, Meng-Chen Wu, Hung-Ming Chen, Iris Hui-Ru Jiang Performance Constraints Aware Voltage Islands Generation in SoC Floorplan Design. Search on Bibsonomy SoCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Song Chen 0001, Takeshi Yoshimura On the Number of 3-D IC Floorplan Configurations and a Solution Perturbation Method with Good Convergence. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Chikaaki Kodama, Kunihiro Fujiyoshi Minimizing the Number of Empty Rooms on Floorplan by Dissection Line Merge. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Hiroaki Itoga, Chikaaki Kodama, Kunihiro Fujiyoshi A Graph Based Soft Module Handling in Floorplan. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Hung-Ming Chen, Li-Da Huang, I-Min Liu, Martin D. F. Wong Simultaneous power supply planning and noise avoidance in floorplan design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Renshen Wang, Sheqin Dong, Xianlong Hong An improved P-admissible floorplan representation based on Corner Block List. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jin-Tai Yan, Kai-Ping Lin, Yue-Fong Luo LB-packing-based floorplan design on DBL representation. Search on Bibsonomy ICECS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jin-Tai Yan, Chia-Fang Lee, Tzu-Ya Wang Floorplan-aware Steiner tree reconstruction for optimal buffer insertion. Search on Bibsonomy ICECS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Mario R. Casu, Luca Macchiarulo Floorplan assisted data rate enhancement through wire pipelining: a real assessment. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF through-put, systems-on-chip, floorplanning, wire pipelining
18Suvodeep Gupta, Srinivas Katkoori, Hariharan Sankaran Floorplan-Based Crosstalk Estimation for Macrocell-Based Designs. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Sudeep Pasricha, Nikil D. Dutt, Elaheh Bozorgzadeh, Mohamed Ben-Romdhane Floorplan-aware automated synthesis of bus-based communication architectures. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF systems-on-chip, communication synthesis
18Zhou Feng, Bo Yao, Chung-Kuan Cheng Floorplan Representation in VLSI. Search on Bibsonomy Handbook of Data Structures and Applications The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Subhashis Majumder, Subhas C. Nandy, Bhargab B. Bhattacharya On Finding A Staircase Channel With Minimum Crossing Nets In A VLSI Floorplan. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yosuke Kimura, Kenichi Ida Floorplan design problem using improved genetic algorithm. Search on Bibsonomy Artif. Life Robotics The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yosuke Kimura, Kenichi Ida Floorplan design problem using improved genetic algorithm. Search on Bibsonomy Artif. Life Robotics The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Xianlong Hong, Sheqin Dong, Gang Huang, Yici Cai, Chung-Kuan Cheng, Jun Gu Corner block list representation and its application to floorplan optimization. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yi-Lin Hsieh, Tsai-Ming Hsieh A New Effective Congestion Model in Floorplan Design. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Charles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Cliff C. N. Sze Accurate estimation of global buffer delay within a floorplan. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Lei Cheng 0001, Martin D. F. Wong Floorplan design for multi-million gate FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yohei Ishimaru, Keishi Sakanushi, Shinsuke Kobayashi, Yoshinori Takeuchi, Masaharu Imai S-sequence: a new floorplan representation method preserving room abutment relationships. Search on Bibsonomy ISCAS (4) The full citation details ... 2004 DBLP  BibTeX  RDF
18Changqi Yang, Xianlong Hong, Hannah Honghua Yang, Qiang Zhou 0001, Yici Cai, Yongqiang Lu 0001 Recursively combine floorplan and Q-place in mixed mode placement based on circuit's variety of block configuration. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
18Dongku Kang, Mark C. Johnson, Kaushik Roy 0001 Simultaneous Multiple-Vdd Scheduling and Allocation for Partitioned Floorplan. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jai-Ming Lin, Yao-Wen Chang, Shih-Ping Lin 0001 Corner sequence - a P-admissible floorplan representation with a worst case linear-time packing scheme. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Teng-Sheng Moh, Tsu-Shuan Chang Comments on "Handling soft modules in general nonslicing floorplan using Lagrangian relaxation". Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Vladimir G. Deineko, Gerhard J. Woeginger Complexity and approximability results for slicing floorplan designs. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ana Maria de Almeida 0002, Rosália Rodrigues Trees, slices, and wheels: On the floorplan area minimization problem. Search on Bibsonomy Networks The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Keishi Sakanushi, Zhonglin Wu, Yoji Kajitani Recognition of Floorplan by Parametric BSG for Reuse of Layout Design. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2002 DBLP  BibTeX  RDF
18Chih-Hung Lee, Yu-Chung Lin, Wen-Yu Fu, Chung-Chiao Chang, Tsai-Ming Hsieh A New Formulation for SOC Floorplan Area Minimization Problem. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Chris C. N. Chu, Evangeline F. Y. Young Non-Rectangular Shaping and Sizing of Soft Modules in Floorplan Design. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Minghorng Lai, D. F. Wong 0001 Slicing tree is a complete floorplan representation. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18En-Cheng Liu, Ming-Shiun Lin, Jianbang Lai, Ting-Chi Wang Slicing floorplan design with boundary-constrained modules. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Jason Cong, Tianming Kong, Faming Liang, Jun S. Liu, Wing Hung Wong, Dongmin Xu Dynamic weighting Monte Carlo for constrained floorplan designs in mixed signal application. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Fung Yu Young, Chris C. N. Chu, W. S. Luk, Y. C. Wong Floorplan area minimization using Lagrangian relaxation. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Ralph H. J. M. Otten What is a floorplan?. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Yingxin Pang, Chung-Kuan Cheng, Takeshi Yoshimura An enhanced perturbing algorithm for floorplan design using the O-tree representation. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Xianlong Hong, Gang Huang, Yici Cai, Jiangchun Gu, Sheqin Dong, Chung-Kuan Cheng, Jun Gu Corner Block List: An Effective and Efficient Topological Representation of Non-Slicing Floorplan. Search on Bibsonomy ICCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18En-Cheng Liu, Tu-Hsing Lin, Ting-Chi Wang On accelerating slicing floorplan design with boundary constraints. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Priyalal Kulasinghe, Saïd Bettayeb An optimal algorithm for layered wheel floorplan designs. Search on Bibsonomy Networks The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Pei-Ning Guo, Chung-Kuan Cheng, Takeshi Yoshimura An O-Tree Representation of Non-Slicing Floorplan and Its Applications. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Joon-Seo Yim, Seong-Ok Bae, Chong-Min Kyung A Floorplan-Based Planning Methodology for Power and Clock Distribution in ASICs. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Tomonori Izumi, Atsushi Takahashi 0001, Yoji Kajitani Air-Pressure-Model-Based Fast Algorithms for General Floorplan. Search on Bibsonomy ASP-DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license