|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 353 occurrences of 216 keywords
|
|
|
Results
Found 370 publication records. Showing 370 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
12 | Eriko Nurvitadhi, Nirut Chalainanont, Shih-Lien Lu |
Characterization of L3 cache behavior of SPECjAppServer2002 and TPC-C. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 12-20, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
L3 characterization, application server and OLTP, emulator |
12 | Jae-Ho Choi 0001, SangKeun Lee 0001 |
An Efficient Cache Access Protocol in a Mobile Computing Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, Third International Symposium, ISPA 2005, Nanjing, China, November 2-5, 2005, Proceedings, pp. 1123-1134, 2005, Springer, 3-540-29769-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Rüdiger Kapitza, Hans P. Reiser, Franz J. Hauck |
Stable, Time-Bund Object References in Context of Dynamically Changing Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 25th International Conference on Distributed Computing Systems Workshops (ICDCS 2005 Workshops), 6-10 June 2005, Columbus, OH, USA, pp. 603-609, 2005, IEEE Computer Society, 0-7695-2328-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Taeweon Suh, Hsien-Hsin S. Lee, Douglas M. Blough |
Integrating Cache Coherence Protocols for Heterogeneous Multiprocessor Systems, Part 1. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 24(4), pp. 33-41, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Zhijun Wang 0001, Sajal K. Das 0001, Hao Che, Mohan Kumar |
A Scalable Asynchronous Cache Consistency Scheme (SACCS) for Mobile Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(11), pp. 983-995, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
stale cache hit, Mobile environments, cache consistency, disconnection, bandwidth utilization |
12 | Christian Osendorfer, Jie Tao 0001, Carsten Trinitis, Martin Mairandres |
ViSMI: Software Distributed Shared Memory for InfiniBand Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCA ![In: 3rd IEEE International Symposium on Network Computing and Applications (NCA 2004), 30 August - 1 September 2004, Cambridge, MA, USA, pp. 185-191, 2004, IEEE Computer Society, 0-7695-2242-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Taeweon Suh, Douglas M. Blough, Hsien-Hsin S. Lee |
Supporting Cache Coherence in Heterogeneous Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 1150-1157, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Anand Yendluri, Wen-Chi Hou, Chih-Fang Wang |
Improving Concurrency Control in Mobile Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASFAA ![In: Database Systems for Advances Applications, 9th International Conference, DASFAA 2004, Jeju Island, Korea, March 17-19, 2004, Proceedings, pp. 642-655, 2004, Springer, 3-540-21047-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Jaydeep Marathe, Anita Nagarajan, Frank Mueller 0001 |
Detailed cache coherence characterization for OpenMP benchmarks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 18th Annual International Conference on Supercomputing, ICS 2004, Saint Malo, France, June 26 - July 01, 2004, pp. 287-297, 2004, ACM, 1-58113-839-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
SMPs, program instrumentation, coherence protocols, cache analysis, dynamic binary rewriting |
12 | KyungOh Ohn, Haengrae Cho |
Cache Conscious Dynamic Transaction Routing in a Shared Disks Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (3) ![In: Computational Science and Its Applications - ICCSA 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part III, pp. 548-557, 2004, Springer, 3-540-22057-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Amol Nayate, Michael Dahlin, Arun Iyengar |
Transparent Information Dissemination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Middleware ![In: Middleware 2004, ACM/IFIP/USENIX International Middleware Conference, Toronto, Canada, October 18-20, 2004, Proceedings, pp. 212-231, 2004, Springer, 3-540-23428-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Wen-Syan Li, Oliver Po, Wang-Pin Hsiung, K. Selçuk Candan, Divyakant Agrawal |
Engineering and hosting adaptive freshness-sensitive web applications on data centers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the Twelfth International World Wide Web Conference, WWW 2003, Budapest, Hungary, May 20-24, 2003, pp. 587-598, 2003, ACM, 1-58113-680-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
database-driven web applications, net-work latency, web acceleration, response time, dynamic content, freshness |
12 | Gene Itkis, Peng Xie |
Generalized Key-Evolving Signature Schemes or How to Foil an Armed Adversary. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACNS ![In: Applied Cryptography and Network Security, First International Conference, ACNS 2003. Kunming, China, October 16-19, 2003, Proceedings, pp. 151-168, 2003, Springer, 3-540-20208-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Feng Tao, Fionn Murtagh, Mohsen M. Farid |
Weighted Association Rule Mining using weighted support and significance framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the Ninth ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 24 - 27, 2003, pp. 661-666, 2003, ACM, 1-58113-737-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
WARM algorithm, Weighted Association Rule Mining, significant relationship, weighted downward closure property, weighted support |
12 | Zhijun Wang 0001, Mohan Kumar, Sajal K. Das 0001, Huaping Shen |
Investigation of Cache Maintenance Strategies for Multi-cell Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile Data Management ![In: Mobile Data Management, 4th International Conference, MDM 2003, Melbourne, Australia, January 21-24, 2003, Proceedings, pp. 29-44, 2003, Springer, 3-540-00393-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Daniel Pfeifer, Hannes Jakschitsch |
Method-Based Caching in Multi-tiered Server Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM ![In: On The Move to Meaningful Internet Systems 2003: CoopIS, DOA, and ODBASE - OTM Confederated International Conferences, CoopIS, DOA, and ODBASE 2003, Catania, Sicily, Italy, November 3-7, 2003, pp. 1312-1332, 2003, Springer, 3-540-20498-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Ernesto Jiménez, Antonio Fernández 0001, Vicent Cholvi |
Decoupled Interconnection of Distributed Memory Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OPODIS ![In: Principles of Distributed Systems, 7th International Conference, OPODIS 2003 La Martinique, French West Indies, December 10-13, 2003 Revised Selected Papers, pp. 235-246, 2003, Springer, 3-540-22667-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Hyeong-Kyo Kim |
Hybrid Scheme for Ubiquitous Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (2) ![In: Computational Science and Its Applications - ICCSA 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part II, pp. 490-497, 2003, Springer, 3-540-40161-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Ing-Ray Chen, Ngoc Anh Phan |
Update Propagation Algorithms for Supporting Disconnected Operations in Mobile Wireless Systems with Data Broadcasting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 23rd International Conference on Distributed Computing Systems Workshops (ICDCS 2003 Workshops), 19-22 May 2003, Providence, RI, USA, pp. 784-789, 2003, IEEE Computer Society, 0-7695-1921-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Wireless mobile systems, mobile client-server systems, performance analysis, data broadcasting, disconnected operations |
12 | Zhijun Wang 0001, Sajal K. Das 0001, Hao Che, Mohan Kumar |
SACCS: Scalable Asynchronous Cache Consistency Scheme for Mobile Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 23rd International Conference on Distributed Computing Systems Workshops (ICDCS 2003 Workshops), 19-22 May 2003, Providence, RI, USA, pp. 797-802, 2003, IEEE Computer Society, 0-7695-1921-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | James B. Lim, Ali R. Hurson |
Transaction Processing in Mobile, Heterogeneous Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 14(6), pp. 1330-1346, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
global information sharing process, caching and prefetching, simulation and analysis, concurrency control, Mobile computing environment |
12 | Jian Yin 0002, Lorenzo Alvisi, Michael Dahlin, Arun Iyengar |
Engineering web cache consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Internet Techn. ![In: ACM Trans. Internet Techn. 2(3), pp. 224-259, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
scalability, Cache coherence, cache consistency, dynamic content, volume, lease |
12 | Manuel E. Acacio, José González 0002, José M. García 0001, José Duato |
The Use of Prediction for Accelerating Upgrade Misses in cc-NUMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 22-25 September 2002, Charlottesville, VA, USA, pp. 155-164, 2002, IEEE Computer Society, 0-7695-1620-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Yiannakis Sazeides |
Modeling Value Speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), Boston, Massachusettes, USA, February 2-6, 2002, pp. 211-222, 2002, IEEE Computer Society, 0-7695-1525-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
microarchitecture modeling, speculation, value prediction, value speculation |
12 | Richard H. Stern |
Amazon's One-Click Patent Loses Its Teeth (Micro Law). ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 21(2), pp. 7-10, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Marc Erich Latoschik |
A gesture processing framework for multimodal interaction in virtual reality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Afrigraph ![In: Proceedings of the 1st International Conference on Computer Graphics, Virtual Reality, Visualisation and Interaction in Africa, Afrigraph 2001, Camps Bay, Cape Town, South Africa, November 5-7, 2001, pp. 95-100, 2001, ACM. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
3D HCI, gesture and speech input, gesture processing, immersive conditions, interaction in virtual reality, multimodal interface framework, gestures, multimodal |
12 | IlYoung Chung, Chong-Sun Hwang |
Increasing Concurrency of Transactions Using Delayed Certification in Mobile DBMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile Data Management ![In: Mobile Data Management, Second International Conference, MDM 2001, Hong Kong, China, January 8-10, 2001, Proceedings, pp. 277-278, 2001, Springer, 3-540-41454-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Landon P. Cox, Brian D. Noble |
Fast Reconciliations in Fluid Replication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 21st International Conference on Distributed Computing Systems (ICDCS 2001), Phoenix, Arizona, USA, April 16-19, 2001, pp. 449-458, 2001, IEEE Computer Society, 0-7695-1077-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Mihalis Psarakis, Antonis M. Paschalis, Nektarios Kranitis, Dimitris Gizopoulos, Yervant Zorian |
Robust and Low-Cost BIST Architectures for Sequential Fault Testing in Datapath Multipliers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, USA, pp. 15-21, 2001, IEEE Computer Society, 0-7695-1122-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Andrea De Lucia, Antonello Pannella, Eugenio Pompella, Silvio Stefanucci |
Assessing Massive Maintenance Processes: An Empirical Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: 2001 International Conference on Software Maintenance, ICSM 2001, Florence, Italy, November 6-10, 2001, pp. 451-, 2001, IEEE Computer Society, 0-7695-1189-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Massive software maintenance, software maintenance processes, Y2K, empirical study, effort estimation |
12 | Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian |
Sequential Fault Modeling and Test Pattern Generation for CMOS Iterative Logic Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(10), pp. 1083-1099, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Sequential fault modeling, test pattern generation, robust testing, iterative logic arrays |
12 | Takeo Hosomi, Yasushi Kanoh, Masaaki Nakamura, Tetsuya Hirose |
A DSM Architecture for a Parallel Computer Cenju-4. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 287-298, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
scalability, multicast, shared-memory, deadlock, coherence protocol, starvation, directory, gathering, ccNUMA |
12 | Hung-Chang Hsiao, Chung-Ta King |
MICA: A Memory and Interconnect Simulation Environment for Cache-Based Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 33th Annual Simulation Symposium (SS 2000), 16-22 April 2000, Washington, DC, USA, pp. 317-325, 2000, IEEE Computer Society, 0-7695-0598-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Trace-driven, Simulation environment, Distributed Shared Memory Multiprocessors |
12 | Vugranam C. Sreedhar, Michael G. Burke, Jong-Deok Choi |
A framework for interprocedural optimization in the presence of dynamic class loading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2000 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Vancouver, Britith Columbia, Canada, June 18-21, 2000, pp. 196-207, 2000, ACM, 1-58113-199-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | M. C. Ng, Weng-Fai Wong |
ORION: An Adaptive Home-Based Software Distributed Shared Memory System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: Seventh International Conference on Parallel and Distributed Systems, ICPADS 2000, Iwate, Japan, July 4-7, 2000, pp. 187-194, 2000, IEEE Computer Society, 0-7695-0568-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Anurag Kahol, Ramandeep Singh Khurana, Sandeep K. S. Gupta, Pradip K. Srimani |
An Efficient Cache Maintenance Scheme for Mobile Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 20th International Conference on Distributed Computing Systems, Taipei, Taiwan, April 10-13, 2000, pp. 530-537, 2000, IEEE Computer Society, 0-7695-0601-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | IlYoung Chung, JeHyok Ryu, Chong-Sun Hwang |
Efficient Cache Management Protocol Based on Data Locality in Mobile DBMSs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ADBIS-DASFAA ![In: Current Issues in Databases and Information Systems, East-European Conference on Advances in Databases and Information Systems Held Jointly with International Conference on Database Systems for Advanced Applications, ADBIS-DASFAA 2000, Prague, Czech Republic, September 5-8, 2000, Proceedings, pp. 51-64, 2000, Springer, 3-540-67977-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Hung-Chang Hsiao, Chung-Ta King |
The Thread-Based Protocol Engines for CC-NUMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2000 International Conference on Parallel Processing, ICPP 2000, Toronto, Canada, August 21-24, 2000, pp. 497-504, 2000, IEEE Computer Society, 0-7695-0768-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry |
A scalable approach to thread-level speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 27th International Symposium on Computer Architecture (ISCA 2000), June 10-14, 2000, Vancouver, BC, Canada, pp. 1-12, 2000, IEEE Computer Society, 978-1-58113-232-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Louis Degenaro, Arun Iyengar, Ilya Lipkind, Isabelle Rouvellou |
A Middleware System Which Intelligently Caches Query Results. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Middleware ![In: Middleware 2000, IFIP/ACM International Conference on Distributed Systems Platforms, New York, NY, USA, April 4-7, 2000, Proceedings, pp. 24-44, 2000, Springer, 3-540-67352-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Mustaque Ahamad, Rammohan Kordale |
Scalable Consistency Protocols for Distributed Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 10(9), pp. 888-903, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Scalable services, caching, replication, distributed objects, consistency protocols |
12 | Haobo Yu, Lee Breslau, Scott Shenker |
A Scalable Web Cache Consistency Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGCOMM ![In: Proceedings of the ACM SIGCOMM 1999 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communication, August 30 - September 3, 1999, Cambridge, Massachusetts, USA, pp. 163-174, 1999, ACM, 1-58113-135-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Wissam Hlayhel, Jacques Henri Collet, Laurent Fesquet |
Implementing Snoop-Coherence Protocol for Future SMP Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings, pp. 745-752, 1999, Springer, 3-540-66443-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | Jim Nilsson, Fredrik Dahlgren |
Improving Performance of Load-Store Sequences for Transaction Processing Workloads on Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the International Conference on Parallel Processing 1999, ICPP 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 246-257, 1999, IEEE Computer Society, 0-7695-0350-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
load-store sequences, performance evaluation, databases, operating systems, multiprocessors, computer architecture, transaction processing, cache coherence protocols |
12 | Sigrid Goldmann, Jürgen Münch, Harald Holz |
A Meta-Model for Distributed Software Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WETICE ![In: 8th Workshop on Enabling Technologies (WETICE '99), Infrastructure for Collaborative Enterprises, 16-18 June 1999, Stanford, CA, USA, Proceedings, pp. 48-53, 1999, IEEE Computer Society, 0-7695-0365-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | James B. Lim, Ali R. Hurson |
Data Duplication and Consistency in a Mobile, Multidatabase Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: International Conference on Parallel and Distributed Systems, ICPADS '98, Tainan, Taiwan, December 14-16, 1998, pp. 50-58, 1998, IEEE Computer Society, 0-8186-8603-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
12 | Asit Dan, Philip S. Yu, Anant Jhingran |
Recovery Analysis of Data Sharing Systems under Deferred Dirty Page Propagation Policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 8(7), pp. 695-711, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Recovery analysis, deferred write, coupled systems, transaction processing, analytic modeling, database systems |
12 | Liuxi Yang, Josep Torrellas |
Speeding up the Memory Hierarchy in Flat COMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 4-13, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
cache-only memory architectures, cache coherence protocols, cache hierarchies, scalable shared-memory multiprocessors |
12 | Tzi-cker Chiueh, Srinidhi Varadarajan |
Design and Evaluation of a DRAM-based Shared Memory ATM Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1997 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, Seattle, Washington, USA, June 15-18, 1997, pp. 248-259, 1997, ACM, 0-89791-909-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
12 | Jelica Protic, Veljko M. Milutinovic |
Entry Consistency versus Lazy Release Consistency in DSM Systems: Analytical Comparison and a New Hybrid Solution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTDCS ![In: 6th IEEE Workshop on Future Trends of Distributed Computer Systems (FTDCS '97), 29-31 October 1997, Tunis, Tunisia, Proceedings, pp. 78-83, 1997, IEEE Computer Society, 0-8186-8153-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
12 | Dean M. Tullsen, Susan J. Eggers |
Effective Cache Prefetching on Bus-Based Multiprocessors ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(1), pp. 57-88, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching |
12 | Kuen-Jong Lee, Charles Njinda, Melvin A. Breuer |
SWiTEST: a switch level test generation system for CMOS combinational circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(5), pp. 625-637, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Robert Cooper |
Experience with Causally and Totally Ordered Communication Support, A cautionary tale. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 28(1), pp. 28-31, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Farnaz Mounes-Toussi, David J. Lilja, Zhiyuan Li 0001 |
An evaluation of a compiler optimization for improving the performance of a coherence directory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 8th international conference on Supercomputing, ICS 1994, Manchester, UK, July 11-15, 1994, pp. 75-84, 1994, ACM, 0-89791-665-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Shubhendu S. Mukherjee, Mark D. Hill |
An evaluation of directory protocols for medium-scale shared-memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 8th international conference on Supercomputing, ICS 1994, Manchester, UK, July 11-15, 1994, pp. 64-74, 1994, ACM, 0-89791-665-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
directory protocols, multiprocessors, shared-memory, cache coherence, gray code |
12 | Akhilesh Kumar, Phanindra K. Mannava, Laxmi N. Bhuyan |
Efficient and scalable cache coherence schemes for shared memory hypercube multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '94, Washington, DC, USA, November 14-18, 1994, pp. 498-507, 1994, IEEE Computer Society, 0-8186-6605-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
12 | Erhard Rahm |
Empirical Performance Evaluation of Concurrency and Coherency Control Protocols for Database Sharing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 18(2), pp. 333-377, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
coherency control, database partitioning, database sharing, performance analysis, concurrency control, trace-driven simulation, shared nothing, shared disk |
12 | Victor F. Nicola, Asit Dan, Daniel M. Dias |
Analysis of the Generalized Clock Buffer Replacement Scheme for Database Transaction Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1992 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, Newport, Rhode Island, USA, June 1-5, 1992, pp. 35-46, 1992, ACM, 0-89791-507-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
12 | Toshiaki Tarui, Takayuki Nakagawa, Noriyasu Ido, Machiko Asaie, Mamoru Sugie |
Evaluation of the lock mechanism in a snooping cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 6th international conference on Supercomputing, ICS 1992, Washington, DC, USA, July 19-24, 1992, pp. 53-62, 1992, ACM, 0-89791-485-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
12 | William H. Harrison, Harold Ossher |
Attaching instance variables to method realization1 instead of classes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCL ![In: ICCL'92, Proceedings of the 1992 International Conference on Computer Languages, Oakland, California, USA, 20-23 Apr 1992, pp. 291-299, 1992, IEEE Computer Society, 0-8186-2585-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
12 | Andres R. Takach, Niraj K. Jha |
Easily testable gate-level and DCVS multipliers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(7), pp. 932-942, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Wolfgang K. Giloi, C. Hastedt, Friedrich Schön, Wolfgang Schröder-Preikschat |
A Distributed Implementation of Shared Virtual Memory with Strong and Weak Coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDMCC ![In: Distributed Memory Computing, 2nd European Conference, EDMCC2, Munich, FRG, April 22-24, 1991, Proceedings, pp. 23-31, 1991, Springer, 3-540-53951-4. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
virtual shared memory architecture, strong and weak data coherence, communication hardware, parallelizing compilers, Distributed memory architecture |
12 | Asit Dan, Daniel M. Dias, Philip S. Yu |
Analytical Modeling of a Hierarchical Buffer for a Data Sharing Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1991 ACM SIGMETRICS conference on Measurement and modeling of computer systems, San Diego, California, USA, May 21-24, 1991, pp. 156-167, 1991, ACM, 0-89791-392-2. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Asit Dan, Philip S. Yu |
Performance comparisons of buffer coherency policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 10th International Conference on Distributed Computing Systems (ICDCS 1991), May 20-24, 1991, Arlington, Texas, USA, pp. 208-217, 1991, IEEE Computer Society, 0-8186-2144-3. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Jan Hlavicka |
On the Complexity of System-Level Diagnosis Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROCAST ![In: Computer Aided Systems Theory - EUROCAST'91, A Selection of Papers from the Second International Workshop on Computer Aided Systems Theory, Krems, Austria, April 15-19, 1991, Proceedings, pp. 352-364, 1991, Springer, 3-540-55354-1. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Susan J. Eggers, Randy H. Katz |
Evaluating the Performance of Four Snooping Cache Coherency Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 2-15, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
12 | Anant Agarwal, Mathews Cherian |
Adaptive Backoff Synchronization Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 396-406, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
12 | Niraj K. Jha |
Testing for multiple faults in domino-CMOS logic circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(1), pp. 109-116, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
12 | Scott T. Leutenegger, Mary K. Vernon |
A Mean-Value Performance Analysis of a New Multiprocessor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1988 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Fe, New Mexico, USA, May 24-27, 1988, pp. 167-176, 1988, ACM, 0-89791-254-3. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
12 | Philip S. Yu, Daniel M. Dias, John T. Robinson, Balakrishna R. Iyer, Douglas W. Cornell |
Modelling of Centralized Concurrency Control in a Multi-System Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1985 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Austin, Texas, USA, August 26-29, 1985, pp. 183-191, 1985, ACM, 0-89791-169-5. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
Displaying result #301 - #370 of 370 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4] |
|