The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multipliers with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1975 (15) 1976-1980 (18) 1981-1984 (20) 1985-1988 (24) 1989-1990 (20) 1991 (16) 1992-1993 (32) 1994 (18) 1995 (39) 1996 (28) 1997 (27) 1998 (40) 1999 (58) 2000 (47) 2001 (76) 2002 (74) 2003 (105) 2004 (101) 2005 (139) 2006 (151) 2007 (117) 2008 (166) 2009 (91) 2010 (55) 2011 (56) 2012 (66) 2013 (73) 2014 (79) 2015 (91) 2016 (79) 2017 (94) 2018 (133) 2019 (110) 2020 (108) 2021 (119) 2022 (125) 2023 (137) 2024 (31)
Publication types (Num. hits)
article(1370) book(1) data(2) incollection(14) inproceedings(1381) phdthesis(10)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1366 occurrences of 709 keywords

Results
Found 2778 publication records. Showing 2778 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Ting Yu 0008, Manfred Lenzen, Chris Dey, Jeremy Badcock Automatically Estimating and Updating Input-Output Tables. Search on Bibsonomy KES (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Amirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn, Christian Schlegel A Compact and Accurate Gaussian Variate Generator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung Integrated Floorplanning, Module-Selection, and Architecture Generationfor Reconfigurable Devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Huapeng Wu Bit-Parallel Polynomial Basis Multiplier for New Classes of Finite Fields. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Abusaleh M. Jabir, Dhiraj K. Pradhan, Jimson Mathew GfXpress: A Technique for Synthesis and Optimization of GF(2m) Polynomials. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ioannis D. Schizas, Georgios B. Giannakis, Stergios I. Roumeliotis, Alejandro Ribeiro Consensus in Ad Hoc WSNs With Noisy Links - Part II: Distributed Estimation and Smoothing of Random Signals. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ralf Laue 0002, Sorin A. Huss Parallel Memory Architecture for Elliptic Curve Cryptography over GF(p) Aimed at Efficient FPGA Implementation. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, parallelization, elliptic curve cryptography, memory architecture
15Arash Hariri, Arash Reyhani-Masoleh Digit-Serial Structures for the Shifted Polynomial Basis Multiplication over Binary Extension Fields. Search on Bibsonomy WAIFI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Shifted polynomial basis, binary extension fields, digit-serial, multiplication
15Xiaoying Wang 0001, Lars Hedrich Structural Synthesis of Four-Quadrant Multiplier Based on Hierarchical Topology. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Guang Gong Sequences, DFT and Resistance against Fast Algebraic Attacks. Search on Bibsonomy SETA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fast algebraic attack, bases, trace representations, stream ciphers, Discrete Fourier transform, polynomials, LFSR, m-sequences
15Chiu-Wah Ng, Ngai Wong, Hayden Kwok-Hay So, Tung-Sang Ng Direct sigma-delta modulated signal processing in FPGA. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Fan Mo, Robert K. Brayton Placement based multiplier rewiring for cell-based designs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Alberto A. Del Barrio, María C. Molina, Jose Manuel Mendias, Esther Andres Perez, Román Hermida, Francisco Tirado Applying speculation techniques to implement functional units. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Tzu-Yuan Kuo, Jinn-Shyan Wang A low-voltage latch-adder based tree multiplier. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Minhyeok Shin, Hanho Lee A high-speed four-parallel radix-24 FFT/IFFT processor for UWB applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Alexandru Amaricai, Mircea Vladutiu, Mihai Udrescu, Lucian Prodan, Oana Boncalo Floating point multiplication rounding schemes for interval arithmetic. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Kayo Sakamoto, Masanori Nakagawa A Computational Model of Risk-Context-Dependent Inductive Reasoning Based on a Support Vector Machine. Search on Bibsonomy LKR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF corpus-based conceptual clustering, Support Vector Machines, context, natural language processing, risk, inductive reasoning
15Samuel I. Daitch, Daniel A. Spielman Faster approximate lossy generalized flow via interior point algorithms. Search on Bibsonomy STOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF approximation algorithms, linear programming, network flows, interior-point algorithms
15Turki F. Al-Somani, Alaaeldin Amin High performance elliptic curve point operations with pipelined GF(2m) field multiplier. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Wei Xu 0001, Chunming Zhao, Peng Zhou, Yijin Yang Efficient Adaptive Resource Allocation for Multiuser OFDM Systems with Minimum Rate Constraints. Search on Bibsonomy ICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Pierre L'Ecuyer Efficient and portable 32-bit random variate generators (1986). Search on Bibsonomy WSC The full citation details ... 2007 DBLP  BibTeX  RDF
15Yoshiki Yamaguchi, Kenji Kanazawa, Yoshiharu Ohke, Tsutomu Maruyama An Acceleration Method for Evolutionary Systems Based on Iterated Prisoner's Dilemma. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ming Z. Zhang, Vijayan K. Asari A Design Methodology for Performance-Resource Optimization of a Generalized 2D Convolution Architecture with Quadrant Symmetric Kernels. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 2D convolution, log-domain computation, multiplier-less architecture, quadrant symmetric kernels, modularized optimization, FPGA based architecture
15Liansheng Tan, Xiangjun Wang On IP Traffic Matrix Estimation. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Rahul Jain 0004, Preeti Ranjan Panda An Efficient Pipelined VLSI Architecture for Lifting-Based 2D-Discrete Wavelet Transform. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Natalia A. Fernandez-Garcia, Víctor M. Brea 0001, Diego Cabello Area and Time Efficient Cellular Non-linear Networks. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Andrea Fantini, Alessandro Cabrini, Guido Torelli Impact of Control Signal Non-Idealties on Two-Phase Charge Pumps. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Kavallur Gopi Smitha, A. Prasad Vinod 0001 A New Binary Common Subexpression Elimination Method for Implementing Low Complexity FIR Filters. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Kuan-Hung Chen, Yuan-Sun Chu, Yu-Min Chen, Jiun-In Guo A High-Speed/Low-Power Multiplier Using an Advanced Spurious Power Suppression Technique. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Dong-Ho Lee, Jong-Soo Oh Multi-segment GF(2m) multiplication and its application to elliptic curve cryptography. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF elliptic curve scalar multiplication, FPGA, elliptic curve cryptography (ECC), coprocessor, finite field multiplication
15Nele Mentens, Kazuo Sakiyama, Bart Preneel, Ingrid Verbauwhede Efficient pipelining for modular multiplication architectures in prime fields. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF public key coprocessor, FPGA, cryptography, montgomery multiplication
15A. Prasad Vinod 0001, Edmund Ming-Kit Lai Low power and high-speed implementation of fir filters for software defined radio receivers. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Pramod Kumar Meher Systolic Designs for DCT Using a Low-Complexity Concurrent Convolutional Formulation. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jarmo Takala, Konsta Punkka Scalable FFT Processors and Pipelined Butterfly Units. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF parallel processing, application-specific integrated circuit, CORDIC, distributed arithmetic, radix-2
15Martin Novotný, Jan Schmidt Two Architectures of a General Digit-Serial Normal Basis Multiplier. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung A Novel Heuristic and Provable Bounds for Reconfigurable Architecture Design. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Gabriel Caffarena, Juan A. López, Carlos Carreras, Octavio Nieto-Taladriz High-Level Synthesis of Multiple Word-Length DSP Algorithms Using Heterogeneous-Resource FPGAs. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Chuan He, Guan Qin, Mi Lu, Wei Zhao 0001 An Optimized Finite Difference Computing Engine on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung A Novel Hueristic and Provable Bounds for Reconfigurable Architecture Design. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15R. Mahesh 0001, A. Prasad Vinod 0001 A new common subexpression elimination algorithm for implementing low complexity FIR filters in software defined radio receivers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15A. Prasad Vinod 0001, Ankita Singla, Chip-Hong Chang Improved differential coefficients-based low power FIR filters. Part I. Fundamentals. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Nima Honarmand, M. Reza Javaheri, Naser Sedaghati-Mokhtari, Ali Afzali-Kusha Power efficient sequential multiplication using pre-computation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Gian Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano Fault tolerant design of signed digit based FIR filters. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15C. F. Moyano, Roberto S. Salgado, Luciano V. Barboza On the determination of adjusted OPF solutions. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Thomas J. Wollinger, Guido Bertoni, Luca Breveglieri, Christof Paar Performance of HECC Coprocessors Using Inversion-Free Formulae. Search on Bibsonomy ICCSA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Vijay K. Jain, Glenn H. Chapman Defect Tolerant and Energy Economized DSP Plane of a 3-D Heterogeneous SoC. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D Heterogeneous sensor, redundancy and reconfiguration, energy economization, heterogeneous SOC, J-platform, defect tolerance
15Andrea Boni, Alessandro Zorat FPGA Implementation of Support Vector Machines with Pseudo-Logarithmic Number Representation. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ming Z. Zhang, Vijayan K. Asari A Fully Pipelined Multiplierless Architecture for 2D Convolution with Quadrant Symmetric Kernels. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Saurabh Singh, K. Radhakrishna Rao Low Voltage Analogue Multiplier. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ray C. C. Cheung, N. J. Telle, Wayne Luk, Peter Y. K. Cheung Customizable elliptic curve cryptosystems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Haining Fan, Yiqi Dai Fast Bit-Parallel GF(2^n) Multiplier for All Trinomials. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Finite field, multiplication, polynomial basis, irreducible trinomial
15Chao-Tsung Huang, Po-Chih Tseng, Liang-Gee Chen VLSI Architecture for Forward Discrete Wavelet Transform Based on B-spline Factorization. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF B-spline factorization, discrete wavelet transform, VLSI architecture
15Pradeep Kumar Mishra Efficient Simultaneous Inversion in Parallel and Application to Point Multiplication in ECC. Search on Bibsonomy CISC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Montgomery ladder, simultaneous inversion, parallel algorithm, Elliptic Curve Cryptosystems, Scalar Multiplication
15Bo Yang 0010, Nikhil Joshi, Ramesh Karri A constant array multiplier core generator with dynamic partial evaluation architecture selection (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Mateus Fonseca, Eduardo A. C. da Costa, Sergio Bampi, José Monteiro 0001 Design of a radix-2m hybrid array multiplier using carry save adder format. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hybrid multiplier, low power, carry save adder
15Qingsheng Hu, Zhigong Wang, Jun Zhang, Jie Xiao Low complexity parallel Chien search architecture for RS decoder. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15A. Prasad Vinod 0001, Edmund Ming-Kit Lai Design of low complexity high-speed pulse-shaping IIR filters for mobile communication receivers. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Soonhak Kwon, Chang Hoon Kim, Chun Pyo Hong Compact Linear Systolic Arrays for Multiplication Using a Trinomial Basis in GF(2m) for High Speed Cryptographic Processors. Search on Bibsonomy ICCSA (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI, finite field, systolic array, irreducible trinomial
15Romain Michard, Arnaud Tisserand, Nicolas Veyrat-Charvillon Small FPGA polynomial approximations with 3-bit coefficients and low-precision estimations of the powers of x. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Anuja Sehgal, Vikram Iyengar, Krishnendu Chakrabarty SOC test planning using virtual test access architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Dominik Stoffel, Wolfgang Kunz Equivalence checking of arithmetic circuits on the arithmetic bit level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Steven S. W. Lee, Maria C. Yuang, Po L. Tien, Shih-Hsun Lin A Lagrangean relaxation-based approach for routing and wavelength assignment in multigranularity optical WDM networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Arash Reyhani-Masoleh, M. Anwar Hasan Towards fault-tolerant cryptographic computations over finite fields. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF polynomial basis multiplier, security, fault-tolerant computing, finite fields, Error correction
15Sangjin Hong, Shu-Shin Chin, Suhwan Kim, Wei Hwang Power Reduction Technique in Coefficient Multiplications Through Multiplier Characterization. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-power multiplier, coefficient optimization, power weight factor, power modeling
15BaiJie Kuang, Yuefei Zhu, YaJuan Zhang An Improved Algorithm for uP + vQ Using JSF13. Search on Bibsonomy ACNS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Vagner S. Rosa, Eduardo A. C. da Costa, José C. Monteiro 0001, Sergio Bampi An improved synthesis method for low power hardwired FIR filters. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA synthesis, parallel FIR filter, power-of-two, common subexpression elimination
15Raymond Hoare, Shen Chih Tung, Katrina Werger An 88-Way Multiprocessor within an FPGA with Customizable Instructions. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, Architecture, Parallelism, DSP, SIMD
15Yong-Hyuk Kim, Byung Ro Moon Lagrange Multiplier Method for Multi-campaign Assignment Problem. Search on Bibsonomy GECCO (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Guido Bertoni, Luca Breveglieri, Thomas J. Wollinger, Christof Paar Finding Optimum Parallel Coprocessor Design for Genus 2 Hyperelliptic Curve Cryptosystems. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF genus 2, parallelism, embedded processor, hardware architecture, hyperelliptic curve, co-processor
15Takafumi Aoki, Naofumi Homma, Tatsuo Higuchi 0001 Evolutionary Synthesis of Arithmetic Circuit Structures. Search on Bibsonomy Artif. Intell. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF genetic algorithms, genetic programming, evolutionary computation, multiple-valued logic, arithmetic circuits, evolutionary design, circuit design
15Seokjin Lee, Martin D. F. Wong Timing-driven routing for FPGAs based on Lagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15James E. Stine, Oliver M. Duverne Variations on Truncated Multiplication. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Mustapha Bouhtou, Madiagne Diallo, Laura Wynter Capacitated Network Revenue Management through Shadow Pricing. Search on Bibsonomy Networked Group Communication The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Internet Pricing, Network Equilibrium, congestion control, Proportional Fairness, Bilevel Program, Revenue Maximization
15Soonhak Kwon A Low Complexity and a Low Latency Bit Parallel Systolic Multiplier over GF(2m) Using an Optimal Normal Basis of Type II. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Anders Lindström, Michael Nordseth, Lars Bengtsson, Amos Omondi Arithmetic Circuits Combining Residue and Signed-Digit Representations. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Masayuki Ito, David G. Chinnery, Kurt Keutzer Low Power Multiplication Algorithm for Switching Activity Reduction through Operand Decomposition. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Seon Ok Lee, Seok Won Jung, Chang Han Kim, Janghong Yoon, Jae-Young Koh, Daeho Kim Design of Bit Parallel Multiplier with Lower Time Complexity. Search on Bibsonomy ICISC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ya Jun Yu, Tapio Saramäki, Yong Ching Lim An iterative method for optimizing FIR filters synthesized using the two-stage frequency-response masking technique. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Soonhak Kwon, Chang Hoon Kim, Chun Pyo Hong A systolic multiplier with LSB first algorithm over GF(2m) which is as efficient as the one with MSB first algorithm. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Mark A. Erle, Michael J. Schulte Decimal Multiplication Via Carry-Save Addition. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Yeshwant Kolla, Yong-Bin Kim, John Carter A novel 32-bit scalable multiplier architecture. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CMOS VLSI, architecture, multiplier
15Adnan Abdul-Aziz Gutub, Mohammad K. Ibrahim Power-time flexible architecture for GF(2k) elliptic curve cryptosystem computation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF crypto-systems power-time tradeoff, projective coordinate arithmetic, parallel architecture, elliptic curve cryptography
15Huapeng Wu, M. Anwarul Hasan, Ian F. Blake, Shuhong Gao Finite Field Multiplier Using Redundant Representation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cyclotomic ring, redundant set, multiplier, Finite field arithmetic, normal basis, squaring
15Makoto Motegi, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi 0001 Evolutionary Graph Generation System and Its Application to Bit-Serial Arithmetic Circuit Synthesis. Search on Bibsonomy PPSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Ernest Jamro, Kazimierz Wiatr Constant Coefficient Convolution Implemented in FPGAs. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15George Koutroumpezis, Konstantinos Tatas, Dimitrios Soudris, Spyros Blionas, Kostas Masselos, Adonios Thanailakis Architecture Design of a Reconfigurable Multiplier for Flexible Coarse-Grain Implementations. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Richard H. Turner, Roger F. Woods, Tim Courtney Multiplier-less Realization of a Poly-phase Filter Using LUT-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Seokjin Lee, D. F. Wong 0001 Timing-driven routing for FPGAs based on Lagrangian relaxation. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timing-driven routing, FPGA, Lagrangian relaxation
15Hak-soo Yu, Jacob A. Abraham An Efficient 3-Bit -Scan Multiplier without Overlapping Bits, and Its 64x64 Bit Implementation. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3-bit-scan, power-delay-area tradeoffs, synchronous sequential, multiplier, partial product
15Dilip V. Sarwate, Naresh R. Shanbhag High-speed architectures for Reed-Solomon decoders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Omar Nibouche, Ahmed Bouridane, Mokhtar Nibouche New architectures for serial-serial multiplication. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Lijun Gao, Keshab K. Parhi Custom VLSI design of efficient low latency and low power finite field multiplier for Reed-Solomon codec. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Tapio Saramäki, Håkan Johansson Optimization of FIR filters using the frequency-response masking approach. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Henrik Eriksson, Per Larsson-Edefors, William P. Marnane A regular parallel multiplier which utilizes multiple carry-propagate adders. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Yong Lian 0001 A new frequency-response masking structure with reduced complexity for FIR filter design. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Jagdish Chandra Hybrid Dynamical Systems - Minitrack Introduction. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Ian A. Hiskens Stability of Limit Cycles in Hybrid Systems. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF trajectory sensitivities, hybrid systems, Limit cycles
15Matthias P. Nowak, Werner Römisch Stochastic Lagrangian Relaxation Applied to Power Scheduling in a Hydro-Thermal System under Uncertainty. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multistage stochastic programming, stochastic unit commitment, power management, Lagrangian relaxation, mixed-integer
15Rong Lin A Reconfigurable Low-Power High-Performance Matrix Multiplier Design. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power CMOS circuits, parallel counter-multiplier circuits, reconfigurable architecture, Matrix multiplication
15Benjamin W. Wah, Zhe Wu 0002 Discrete Lagrangian Methods for Designing Multiplierless Two-Channel PR-LP Filter Banks. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 2778 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license