|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3849 occurrences of 1991 keywords
|
|
|
Results
Found 9295 publication records. Showing 9295 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
20 | Sam S. Appleton, Shannon V. Morton, Michael J. Liebelt |
A new method for asynchronous pipeline control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 13-15 March 1997, Urbana, IL, USA, pp. 100-104, 1997, IEEE Computer Society, 0-8186-7904-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
asynchronous pipeline control, static logic control, dynamic logic control, flow controlled asynchronous method, asynchronous circuits, VLSI architecture |
20 | Yooichi Shintani, Toru Shonai, Hiroshi Kurokawa, Kazunori Kuriyama, Akira Yamaoka |
Hierarchical Execution to Speed Up Pipeline Interlock in Mainframe Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(5), pp. 589-599, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
hierarchical execution, compiler, Benchmark, pipeline, code optimization, mainframe computer |
20 | Myra Spiliopoulou, Michael Hatzopoulos, Yannis Cotronis |
Parallel Optimization of Large Join Queries with Set Operators and Aggregates in a Parallel Environment Supporting Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 8(3), pp. 429-445, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Parallel query optimization, parallelism in optimization, bushy parallelism, shared-disk architectures, databases, parallelism, query optimization, pipeline, iterative improvement, large join queries |
20 | James O. Bondi, Ashwini K. Nanda, Simonjit Dutta |
Integrating a Misprediction Recovery Cache (MRC) into a Superscalar Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 14-23, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
branch target buffer technology, deep pipelines, misprediction recovery cache integration, performance loss, residual misprediction penalty, superscalar pipeline, microprocessor chips, microprocessor designs, CISC, multiple instructions |
20 | Kar-Lik Wong, Wan-Chi Siu |
Data Routing Networks for Systolic/Pipeline Realization of Prime Factor Mapping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 40(9), pp. 1072-1074, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
prime factor mapping, data routing networks, low circuit complexities, very-high-throughput, parallel architectures, pipeline architecture, systolic architecture |
20 | Paul D. Ezhilchelvan, Isi Mitrani, Santosh K. Shrivastava |
A Performance Evaluation Study of Pipeline TMR Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 1(4), pp. 442-456, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
pipeline TMR systems, concurrent replicated processing, processor failure rates, performance evaluation, performance evaluation, distributed system, distributed processing, redundancy, computer simulations, majority voting, triple modular redundant |
20 | Brian C. McKinney, Fayez El Guibaly |
A Multiple-Access Pipeline Architecture for Digital Signal Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 37(3), pp. 283-290, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
multiple-access pipeline architecture, CMOS processor, processing concurrency, microprogram control, floating-point data, parallel architectures, digital signal processing, digital arithmetic, computerised signal processing, arithmetic logic unit |
20 | Albert G. Greenberg, Udi Manber |
A Probabilistic Pipeline Algorithm for K Selection on the Tree Machine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 36(3), pp. 359-362, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
Algorithms, parallel, pipeline, selection, tree machine |
20 | Philip G. Emma, Edward S. Davidson |
Characterization of Branch and Data Dependencies in Programs for Evaluating Pipeline Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 36(7), pp. 859-875, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
Branch delay, performance analysis, pipeline, data dependency, program trace, trace reduction |
20 | Howard M. Shao, Trieu-Kien Truong, Leslie J. Deutsch, Joseph H. Yuen, Irving S. Reed |
A VLSI Design of a Pipeline Reed-Solomon Decoder. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 34(5), pp. 393-403, 1985. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
Reed-Solomon decoder, VLSI, Pipeline, systolic array |
20 | Trieu-Kien Truong, Kuang Y. Liu, Irving S. Reed |
A Parallel-Pipeline Architecutre of the Fast Polynomial Transform for Computing a Two-Dimensional Cyclic Convolution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 32(3), pp. 301-306, 1983. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
fast polynomial transform, FFT butterfly, pipeline, Cyclic convolution |
20 | J. M. Glass |
An Efficient Method for Improving Reliability of a Pipeline FFT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 29(11), pp. 1017-1020, 1980. The full citation details ...](Pics/full.jpeg) |
1980 |
DBLP DOI BibTeX RDF |
mean time between failure, pipeline FFT, probability of survival, reliability, redundancy, module, Failure rate |
20 | Xin Zhang 0013, David S. Biswas, Guoliang Fan |
A software pipeline for 3D animation generation using mocap data and commercial shape models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIVR ![In: Proceedings of the 9th ACM International Conference on Image and Video Retrieval, CIVR 2010, Xi'an, China, July 5-7, 2010, pp. 350-357, 2010, ACM, 978-1-4503-0117-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
3D animation generation, mocap data, human motion |
20 | Eriko Nurvitadhi, James C. Hoe, Shih-Lien Lu, Timothy Kam |
Automatic multithreaded pipeline synthesis from transactional datapath specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 314-319, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
automatic pipelining, datapath specification, design exploration of x86 processor pipelines, multithreading, hardware synthesis |
20 | Uwe Brinkschulte, Daniel Lohn, Mathias Pacher |
Towards a Statistical Model of a Microprocessor's Throughput by Analyzing Pipeline Stalls. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEUS ![In: Software Technologies for Embedded and Ubiquitous Systems, 7th IFIP WG 10.2 International Workshop, SEUS 2009, Newport Beach, CA, USA, November 16-18, 2009, Proceedings, pp. 82-90, 2009, Springer, 978-3-642-10264-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Ignacio Barradas, Luis E. Garza, Rubén Morales-Menéndez, Adriana Vargas-Martínez |
Leaks Detection in a Pipeline Using Artificial Neural Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIARP ![In: Progress in Pattern Recognition, Image Analysis, Computer Vision, and Applications, 14th Iberoamerican Conference on Pattern Recognition, CIARP 2009, Guadalajara, Jalisco, Mexico, November 15-18, 2009. Proceedings, pp. 637-644, 2009, Springer, 978-3-642-10267-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Artificial Neural Network, Fault detection, Diagnosis, Leak detection |
20 | Kevin Gold |
An information pipeline model of human-robot interaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HRI ![In: Proceedings of the 4th ACM/IEEE International Conference on Human Robot Interaction, HRI 2009, La Jolla, California, USA, March 9-13, 2009, pp. 85-92, 2009, ACM, 978-1-60558-404-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
conceptual/foundational, human-robot interaction, information theory |
20 | Chin-Teng Lin, Yuan-Chu Yu, Lan-Da Van |
Cost-Effective Triple-Mode Reconfigurable Pipeline FFT/IFFT/2-D DCT Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(8), pp. 1058-1071, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Gabriel H. Loh, Daniel A. Jiménez |
Modulo Path History for the Reduction of Pipeline Overheads in Path-based Neural Branch Predictors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 36(2), pp. 267-286, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Computer architecture, Branch prediction |
20 | Qishi Wu, Jinzhu Gao, Mengxia Zhu, Nageswara S. V. Rao, Jian Huang 0007, S. Sitharama Iyengar |
Self-Adaptive Configuration of Visualization Pipeline Over Wide-Area Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 57(1), pp. 55-68, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Remote systems, Distributed systems, Visualization systems and software |
20 | Ming-Yuen Chan, Huamin Qu, Ka-Kei Chung, Wai-Ho Mak, Yingcai Wu |
Relation-Aware Volume Exploration Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 14(6), pp. 1683-1690, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Takashi Kambe, Makoto Saituji |
A Variable Length Vector Pipeline Architecture Design Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2008, Parma, Italy, September 3-5, 2008, pp. 665-668, 2008, IEEE Computer Society, 978-0-7695-3277-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Andreu Moreno, Eduardo César, Andreu Guevara, Joan Sorribes, Tomàs Margalef, Emilio Luque |
Dynamic Pipeline Mapping (DPM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings, pp. 295-304, 2008, Springer, 978-3-540-85450-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | François Rioux, François Bernier, Denis Laurendeau |
Design and implementation of an XML-based, technology-unified data pipeline for interactive simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 2008 Winter Simulation Conference, Global Gateway to Discovery, WSC 2008, InterContinental Hotel, Miami, Florida, USA, December 7-10, 2008, pp. 1130-1138, 2008, WSC, 978-1-4244-2708-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Arnaldo Vieira Moura, Cid C. de Souza, André A. Ciré, Tony Minoru Tamura Lopes |
Heuristics and Constraint Programming Hybridizations for a Real Pipeline Planning and Scheduling Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSE ![In: Proceedings of the 11th IEEE International Conference on Computational Science and Engineering, CSE 2008, São Paulo, SP, Brazil, July 16-18, 2008, pp. 455-462, 2008, IEEE Computer Society, 978-0-7695-3193-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
scheduling, decision support, constraint satisfaction, combinatorial algorithms, heuristic methods |
20 | Hanqing Xing, Degang Chen 0001, Randall L. Geiger, Le Jin |
System identification -based reduced-code testing for pipeline ADCs' linearity test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 2402-2405, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Michael Figueiredo, Nuno Paulino 0002, Guiomar Evans, João Goes |
New simple digital self-calibration technique for pipeline ADCs using the internal thermal noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 232-235, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Arnaldo Vieira Moura, Cid C. de Souza, André A. Ciré, Tony Minoru Tamura Lopes |
Planning and Scheduling the Operation of a Very Large Oil Pipeline Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CP ![In: Principles and Practice of Constraint Programming, 14th International Conference, CP 2008, Sydney, Australia, September 14-18, 2008. Proceedings, pp. 36-51, 2008, Springer, 978-3-540-85957-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Li Wang, Yao-Hui Wang, Xin-Lu Xiao, Hu Yan, Guangshun Shi, Qingren Wang |
A Fiber-sensor-based Long-distance Safety Monitoring System for buried Oil Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNSC ![In: Proceedings of the IEEE International Conference on Networking, Sensing and Control, ICNSC 2008, Hainan, China, 6-8 April 2008, pp. 451-456, 2008, IEEE, 978-1-4244-1685-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Marllene Daneti |
A practical preprocessing treatment for pipeline leak locating improving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETFA ![In: Proceedings of 13th IEEE International Conference on Emerging Technologies and Factory Automation, ETFA 2008, September 15-18, 2008, Hamburg, Germany, pp. 9-12, 2008, IEEE, 1-4244-1505-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Zhongwen Guo, Hanjiang Luo, Feng Hong 0001, Peng Zhou |
GRE: Graded Residual Energy Based Lifetime Prolonging Algorithm for Pipeline Monitoring Sensor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Ninth International Conference on Parallel and Distributed Computing, Applications and Technologies, PDCAT 2008, Dunedin, Otago, New Zealand, 1-4 December 2008, pp. 203-210, 2008, IEEE Computer Society, 978-0-7695-3443-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Yang Xiao 0001, Hui Chen 0001, Mohsen Guizani |
Non-Blocking Pipeline Paging with Known Location Probabilities for Wireless Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 6(10), pp. 3632-3640, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | John Giacomoni, Tipp Moseley, Manish Vachharajani |
FastForward for Efficient Pipeline Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 407, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Chin-Hsiung Hsu, Szu-Jui Chou, Jie-Hong Roland Jiang, Yao-Wen Chang |
A Statistical Approach to the Timing-Yield Optimization of Pipeline Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 17th International Workshop, PATMOS 2007, Gothenburg, Sweden, September 3-5, 2007, Proceedings, pp. 148-159, 2007, Springer, 978-3-540-74441-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Kentaro Kawakami, Mitsuhiko Kuroda, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto |
Power and Memory Bandwidth Reduction of an H.264/AVC HDTV Decoder LSI with Elastic Pipeline Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 292-297, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Reen-Cheng Wang, Su-Ling Wu, Ruay-Shiung Chang |
A Novel Data Grid Coherence Protocol Using Pipeline-Based Aggressive Copy Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPC ![In: Advances in Grid and Pervasive Computing, Second International Conference, GPC 2007, Paris, France, May 2-4, 2007, Proceedings, pp. 484-495, 2007, Springer, 978-3-540-72359-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Data Grid, Data Replication, Data Coherence |
20 | Jana Görmer, Helmut Lessing, Dirk Bettels |
System Architecture for Coverage and Visualization of Underground Pipeline Structures in Detectino. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITEE ![In: Information Technologies in Environmental Engineering, Proceedings of the 3rd International ICSC Symposium, ITEE-2007, Oldenburg, Germany, March 29-30, 2007, pp. 445-455, 2007, Springer, 978-3-540-71334-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Weng-leng Mok, Pui-In Mak, Seng-Pan U., Rui Paulo Martins |
A Highly-Linear Successive-Approximation Front-End Digitizer with Built-in Sample-and-Hold Function for Pipeline/Two-Step ADC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 1947-1950, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Anne Benoit, Veronika Rehn-Sonigo, Yves Robert |
Multi-criteria scheduling of pipeline workflows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: Proceedings of the 2007 IEEE International Conference on Cluster Computing, 17-20 September 2007, Austin, Texas, USA, pp. 515-524, 2007, IEEE Computer Society, 978-1-4244-1387-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Aviral Shrivastava, Eugene Earlie, Nikil D. Dutt, Alexandru Nicolau |
Retargetable pipeline hazard detection for partially bypassed processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(8), pp. 791-801, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Wei Hong 0006, Feng Qiu, Arie E. Kaufman |
A Pipeline for Computer Aided Polyp Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 12(5), pp. 861-868, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Volume Rendering, Texture Analysis, Computer Aided Detection, Virtual Colonoscopy |
20 | Eslam Yahya, Marc Renaudin |
QDI Latches Characteristics and Asynchronous Linear-Pipeline Performance Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 16th International Workshop, PATMOS 2006, Montpellier, France, September 13-15, 2006, Proceedings, pp. 583-592, 2006, Springer, 3-540-39094-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Barbara A. Eckman, Terry Gaasterland, Zoé Lacroix, Louiqa Raschid, Ben Snyder, Maria-Esther Vidal |
Implementing a Bioinformatics Pipeline (BIP) on a Mediator Platform: Comparing Cost and Quality of Alternate Choices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE Workshops ![In: Proceedings of the 22nd International Conference on Data Engineering Workshops, ICDE 2006, 3-7 April 2006, Atlanta, GA, USA, pp. 67, 2006, IEEE Computer Society, 0-7695-2571-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Hongjun Chen, Xiaohua Zhang, Junyuan Li |
Ultra Low Frequency Electromagnetic Wave Localization and Application to Pipeline Robot. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 1201-1205, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Swarnalatha Radhakrishnan, Hui Guo 0001, Sri Parameswaran |
Customization of application specific heterogeneous multi-pipeline processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 746-751, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Shaoliang Peng, Shanshan Li 0001, Xiangke Liao, Yuxing Peng 0001, Ye Hui |
Feedback Control with Prediction for Thread Allocation in Pipeline Architecture Web Server. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCN ![In: Distributed Computing and Networking, 8th International Conference, ICDCN 2006, Guwahati, India, December 27-30, 2006., pp. 454-465, 2006, Springer, 3-540-68139-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Todd M. Austin |
Razor: a low-power pipeline based on circuit-level timing speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2006, Ouro Preto, MG, Brazil, August 28 - September 1, 2006, pp. 13, 2006, ACM. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Jeffrey William Schuster, Kshitij Gupta, Raymond R. Hoare |
Speech silicon AM: an FPGA-based acoustic modeling pipeline for hidden Markov model based speech recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Changsheng Ai, Honghua Zhao, Rujian Ma, Xueren Dong |
Pipeline Damage and Leak Detection Based on Sound Spectrum LPCC and HMM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISDA (1) ![In: Proceedings of the Sixth International Conference on Intelligent Systems Design and Applications (ISDA 2006), October 16-18, 2006, Jinan, China, pp. 829-833, 2006, IEEE Computer Society, 0-7695-2528-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Bernhard Pfeifer, Michael Seger, Christoph Hintermüller, Gerald Fischer, Friedrich Hanser, Robert Modre, Hannes Mühlthaler, Bernhard Tilg |
Semiautomatic Volume Conductor Modeling Pipeline for Imaging the Cardiac Electrophysiology Noninvasively. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (1) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2006, 9th International Conference, Copenhagen, Denmark, October 1-6, 2006, Proceedings, Part I, pp. 588-595, 2006, Springer, 3-540-44707-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Horacio González-Vélez, Murray Cole |
Towards Fully Adaptive Pipeline Parallelism for Heterogeneous Distributed Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, 4th International Symposium, ISPA 2006, Sorrento, Italy, December 4-6, 2006, Proceedings, pp. 916-926, 2006, Springer, 3-540-68067-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Hedlena M. de A. Bezerra, Bruno Feijó, Luiz Velho 0001 |
An Image-Based Shading Pipeline for 2D Animation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIBGRAPI ![In: 18th Brazilian Symposium on Computer Graphics and Image Processing (SIBGRAPI 2005), 9-12 October 2005, Natal, RN, Brazil, pp. 307-314, 2005, IEEE Computer Society, 0-7695-2389-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
image-based shading, computer-assisted animation, non-photorealistic rendering, cel animation |
20 | Zhongjun Yu, Degang Chen 0001, Randall L. Geiger, Ioannis Papantonopoulos |
Pipeline ADC linearity testing with dramatically reduced data capture time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 792-795, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Degang Chen 0001, Zhongjun Yu, Randall L. Geiger |
An adaptive, truly background calibration method for high speed pipeline ADC design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (6) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 6190-6193, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Yu Lin, Vipul Katyal, Mark Schlarmann, Randall L. Geiger |
kT/C constrained optimization of power in pipeline ADCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 1968-1971, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Fei Liu 0001, Jun Chen |
Fuzzy Control of Nonlinear Pipeline Systems with Bounds on Output Peak. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSKD (1) ![In: Fuzzy Systems and Knowledge Discovery, Second International Conference, FSKD 2005, Changsha, China, August 27-29, 2005, Proceedings, Part I, pp. 969-976, 2005, Springer, 3-540-28312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Fernando Guirado, Ana Ripoll, Concepció Roig, Emilio Luque |
A Pipeline-Based Approach for Mapping Message-Passing Applications with an Input Data Stream. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 11th European PVM/MPI Users' Group Meeting, Budapest, Hungary, September 19-22, 2004, Proceedings, pp. 224-233, 2004, Springer, 3-540-23163-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Hashem Hashemi Najaf-abadi |
A procedure for obtaining a behavioral description for the control logic of a non-linear pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 86-91, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
20 | G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 |
Power-performance trade-off using pipeline delays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 384-386, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Peter K. Allen, Steven Feiner, Alejandro J. Troccoli, Hrvoje Benko, Edward W. Ishak, Benjamin Smith 0001 |
Seeing into the Past: Creating a 3D Modeling Pipeline for Archaeological Visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DPVT ![In: 2nd International Symposium on 3D Data Processing, Visualization and Transmission (3DPVT 2004), 6-9 September 2004, Thessaloniki, Greece, pp. 751-758, 2004, IEEE Computer Society, 0-7695-2223-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Deniz Balkan, John Kalamatianos, David R. Kaeli |
A Study of Errant Pipeline Flushes Caused by Value Misspeculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 16th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2004), 27-29 October 2004, Foz do Iguacu, Brazil, pp. 32-39, 2004, IEEE Computer Society, 0-7695-2240-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Mark D. Aagaard, Nancy A. Day, Robert B. Jones |
Synchronization-at-Retirement for Pipeline Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, 5th International Conference, FMCAD 2004, Austin, Texas, USA, November 15-17, 2004, Proceedings, pp. 113-127, 2004, Springer, 3-540-23738-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Nagavijayalakshmi Vydyanathan, Gaurav Khanna 0002, Tahsin M. Kurç, Ümit V. Çatalyürek, Pete Wyckoff, Joel H. Saltz, P. Sadayappan |
Use of PVFS for Efficient Execution of Jobs with Pipeline-Shared I/O. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GRID ![In: 5th International Workshop on Grid Computing (GRID 2004), 8 November 2004, Pittsburgh, PA, USA, Proceedings, pp. 235-242, 2004, IEEE Computer Society, 0-7695-2256-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Rajeev R. Rao, Toan Pham, Conrad H. Ziesler, David T. Blaauw, Todd M. Austin, Krisztián Flautner, Trevor N. Mudge |
Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003, pp. 7-18, 2003, IEEE Computer Society, 0-7695-2043-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Shuji Sannomiya, Yoichi Omori, Makoto Iwata |
A Macroscopic Behavior Model for Self-Timed Pipeline Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADS ![In: Proceedings of the 17th Workshop on Parallel and Distributed Simulation, PADS 2003, June 10-13, 2003, San Diego, CA, USA, pp. 133-142, 2003, IEEE Computer Society, 0-7695-1970-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Mauro Olivieri, Marco Raspa |
Power Efficiency of Application-Dependent Self-Configuring Pipeline Depth in DSP Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 185, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Mohammad Taherzadeh-Sani, Reza Lotfi, Omid Shoaei |
A Statistical Approach to Estimate the Dynamic Non-Linearity Parameters of Pipeline ADCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 367-370, 2003, IEEE Computer Society / ACM, 1-58113-762-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Blanka Filipová, Pavel Nevriva, Stepán Ozana |
Propagation of the Hydraulic Head in an Elastic Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science ![In: Computational Science - ICCS 2003, International Conference, Melbourne, Australia and St. Petersburg, Russia, June 2-4, 2003. Proceedings, Part II, pp. 585-592, 2003, Springer, 3-540-40195-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Nazar Abbas Saqib, Francisco Rodríguez-Henríquez, Arturo Díaz-Pérez |
AES Algorithm Implementation-An efficient approach for Sequential and Pipeline Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ENC ![In: 4th Mexican International Conference on Computer Science (ENC 2003), 8-12 September 2003, Apizaco, Mexico, pp. 126-130, 2003, IEEE Computer Society, 0-7695-1915-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Bing-Fei Wu, Chung-Fu Lin |
A rescheduling and fast pipeline VLSI architecture for lifting-based discrete wavelet transform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (2) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 732-735, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | M. Unterweissacher, João Goes, Nuno F. Paulino, Guiomar Evans, Manuel Duarte Ortigueira |
Efficient digital self-calibration of video-rate pipeline ADCs using white Gaussian noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 877-880, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Alexander Taubin, Karl Fant, John McCardle |
Design of Delay-Insensitive Three Dimension Pipeline Array Multiplier for Image Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 20th International Conference on Computer Design (ICCD 2002), VLSI in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings, pp. 104-111, 2002, IEEE Computer Society, 0-7695-1700-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
20 | Jafar Talebzadeh, Mohammad Reza Hassanzadeh, Mohammad Yavari, Omid Shoaei |
A 10-bit 150-MS/s, parallel pipeline A/D converter in 0.6-µm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2002 International Symposium on Circuits and Systems, ISCAS 2002, Scottsdale, Arizona, USA, May 26-29, 2002, pp. 133-136, 2002, IEEE, 0-7803-7448-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
20 | Thomas Phan, George Zorpas, Rajive L. Bagrodia |
An Extensible and Scalable Content Adaptation Pipeline Architecture to Support Heterogeneous Clients. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 22nd International Conference on Distributed Computing Systems (ICDCS'02), Vienna, Austria, July 2-5, 2002, pp. 507-516, 2002, IEEE Computer Society, 0-7695-1585-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
20 | Kim L. Boyer, Tolga Ozguner |
Robust online detection of pipeline corrosion from range data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mach. Vis. Appl. ![In: Mach. Vis. Appl. 12(6), pp. 291-304, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Robust estimators, Range data, Visual inspection |
20 | Hiroto Kagotani, Takuji Okamoto, Takashi Nanya |
Synthesis of four-phase asynchronous control circuits from pipeline dependency graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan, pp. 425-430, 2001, ACM, 0-7803-6634-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Jorge Guilherme, Pedro M. Figueiredo, Pedro Azevedo, Goncalo Minderico, Antonio Leal, João C. Vital, José E. Franca |
A pipeline 15-b 10-Msample/s analog-to-digital converter for ADSL applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (1) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 396-399, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Takeshi Harada, Mitsuji Muneyasu, Takao Hinamoto |
A pipeline architecture of quadratic adaptive Volterra filters based on NLMS algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (2) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 785-788, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
20 | Allen E. Sjogren, Chris J. Myers |
Interfacing synchronous and asynchronous modules within a high-speed pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 8(5), pp. 573-583, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Daniel González, Francisco Almeida, Luz Marina Moreno, Casiano Rodríguez |
Pipeline Algorithms on MPI: Optimal Mapping of the Path Planing Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 7th European PVM/MPI Users' Group Meeting, Balatonfüred, Hungary, September 2000, Proceedings, pp. 104-112, 2000, Springer, 3-540-41010-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Jun-Youl Lee, Muhammad Afzal, Satish S. Udpa, Lalita Udpa, Peter Massopus |
Hierarchical Rule Based Classification of MFL Signals Obtained from Natural Gas Pipeline Inspection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN (5) ![In: Proceedings of the IEEE-INNS-ENNS International Joint Conference on Neural Networks, IJCNN 2000, Neural Computing: New Challenges and Perspectives for the New Millennium, Como, Italy, July 24-27, 2000, Volume 5, pp. 71-78, 2000, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Gunnar Tufte, Pauline C. Haddow |
Prototyping a GA Pipeline for Complete Hardware Evolution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Evolvable Hardware ![In: 1st NASA / DoD Workshop on Evolvable Hardware (EH '99), July 19-21, 1999, Pasadena, CA, USA, pp. 18-25, 1999, IEEE Computer Society, 0-7695-0256-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
20 | Tracy Camp |
Survey results from the incredible shrinking pipeline (abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Policy ![In: ACM Policy 1998, The ethics and social impact component on Shaping policy in the information age, Washington, DC, USA, May 10-12, 1998, Proceedings, pp. 68, 1998, ACM, 1-58113-038-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
20 | Randolph Y. Wang, Arvind Krishnamurthy, Richard P. Martin, Thomas E. Anderson, David E. Culler |
Modeling Communication Pipeline Latency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1998 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, SIGMETRICS '98 / PERFORMANCE '98, Madison, Wisconsin, USA, June 22-26, 1998, pp. 22-32, 1998, ACM, 0-89791-982-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
20 | Akihisa Ohta, Tsuyoshi Isshiki, Hiroaki Kunieda |
New FPGA Architecture for Bit-Serial Pipeline Datapath. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FCCM ![In: 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 15-17 April 1998, Napa Valley, CA, USA, pp. 58-67, 1998, IEEE Computer Society, 0-8186-8900-5. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
20 | Rajesh S. Parthasarathy, Ramalingam Sridhar |
Double Pass Transistor Logic for High Performance Wave Pipeline Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 11th International Conference on VLSI Design (VLSI Design 1991), 4-7 January 1998, Chennai, India, pp. 495-500, 1998, IEEE Computer Society, 0-8186-8224-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
20 | Jordi Madrenas, Gregorio Ruiz, Juan Manuel Moreno, Joan Cabestany |
Synthesis and Optimization of a Bit-Serial Pipeline Kernel Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWANN ![In: Biological and Artificial Computation: From Neuroscience to Technology, International Work-Conference on Artificial and Natural Neural Networks, IWANN '97, Lanzarote, Canary Islands, Spain, June 4-6, 1997, Proceedings, pp. 801-810, 1997, Springer, 3-540-63047-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
20 | Robert Cypher, Ambrose Kofi Laing |
Gracefully Degradable Pipeline Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: 11th International Parallel Processing Symposium (IPPS '97), 1-5 April 1997, Geneva, Switzerland, Proceedings, pp. 55-64, 1997, IEEE Computer Society, 0-8186-7792-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
20 | Allen E. Sjogren, Chris J. Myers |
Interfacing Synchronous and Asynchronous Modules Within a High-Speed Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 17th Conference on Advanced Research in VLSI (ARVLSI '97), September 15-16, 1997, Ann Arbor, MI, USA, pp. 47-61, 1997, IEEE Computer Society, 0-8186-7913-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Mixed synchronous/asynchronous interfacing, stoppable clocks, high-speed pipelines, globally synchronous locally asynchronous, metastability, synchronization failure |
20 | Liang-Gee Chen, Yeu-Shen Jehng, Tzi-Dar Chiueh |
Pipeline interleaving design for FIR, IIR, and FFT array processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 10(3), pp. 275-293, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
20 | Paul Loewenstein |
Formal Verification of Counterflow Pipeline Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TPHOLs ![In: Higher Order Logic Theorem Proving and Its Applications, 8th International Workshop, Aspen Grove, UT, USA, September 11-14, 1995, Proceedings, pp. 261-276, 1995, Springer, 3-540-60275-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
20 | John L. Hennessy, Thomas R. Gross |
Code Generation and Reorganization in the Presence of Pipeline Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Conference Record of the Ninth Annual ACM Symposium on Principles of Programming Languages, Albuquerque, New Mexico, USA, January 1982, pp. 120-127, 1982, ACM Press, 0-89791-065-6. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
20 | Mary Jane Irwin |
Reconfigurable Pipeline Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Annual Conference (1) ![In: Proceedings 1978 ACM Annual Conference, Washington, DC, USA, December 4-6, 1978, Volume I, pp. 86-92, 1978, ACM, 978-0-89791-000-2. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
Restructurable computers, Reconfiguration, Pipelining, Computer architecture, Chaining, Vector processing |
18 | Haile Woldesellasse, Solomon Tesfamariam |
Consequence assessment of gas pipeline failure caused by external pitting corrosion using an integrated Bayesian belief network and GIS model: Application with Alberta pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Reliab. Eng. Syst. Saf. ![In: Reliab. Eng. Syst. Saf. 240, pp. 109573, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
18 | Xiren Zhou, Ao Chen, Muhammad Usman, Qiuju Chen, Fang Xiong, Jibing Wu, Huanhuan Chen |
Underground Pipeline Mapping From Multipositional Data: Data Acquisition Platform and Pipeline Mapping Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Geosci. Remote. Sens. ![In: IEEE Trans. Geosci. Remote. Sens. 61, pp. 1-13, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
18 | Benjamin Muller, Belen Alastruey, Prangthip Hansanti, Elahe Kalbassi, Christophe Ropers, Eric Michael Smith, Adina Williams, Luke Zettlemoyer, Pierre Andrews, Marta R. Costa-jussà |
The Gender-GAP Pipeline: A Gender-Aware Polyglot Pipeline for Gender Characterisation in 55 Languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2308.16871, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
18 | Xin Chen, Hengheng Zhang, Xiaotao Gu, Kaifeng Bi, Lingxi Xie, Qi Tian 0001 |
Pipeline MoE: A Flexible MoE Implementation with Pipeline Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2304.11414, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
18 | Benjamin Muller, Belen Alastruey, Prangthip Hansanti, Elahe Kalbassi, Christophe Ropers, Eric Michael Smith, Adina Williams, Luke Zettlemoyer, Pierre Andrews, Marta R. Costa-jussà |
The Gender-GAP Pipeline: A Gender-Aware Polyglot Pipeline for Gender Characterisation in 55 Languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMT ![In: Proceedings of the Eighth Conference on Machine Translation, WMT 2023, Singapore, December 6-7, 2023, pp. 536-550, 2023, Association for Computational Linguistics, 979-8-89176-041-7. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
18 | Mahmoud Abdulsalam, Nabil Aouf |
VitRob Pipeline: A Seamless Teleoperation Pipeline for Advanced Virtual Reality - Robot Interface Applied for Precision Agriculture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ROBIO ![In: IEEE International Conference on Robotics and Biomimetics, ROBIO 2023, Koh Samui, Thailand, December 4-9, 2023, pp. 1-6, 2023, IEEE, 979-8-3503-2570-6. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
18 | Zhenyu Bai |
Modélisation du comportement temporel du pipeline pour le calcul de WCET. (Pipeline Temporal Behavior Modeling for WCET Computation). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2023 |
RDF |
|
Displaying result #301 - #400 of 9295 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|