The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for routability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1991 (16) 1992-1994 (22) 1995-1996 (23) 1997-1998 (20) 1999-2000 (24) 2001 (19) 2002 (24) 2003 (35) 2004 (32) 2005 (34) 2006 (30) 2007 (30) 2008 (34) 2009 (24) 2010-2011 (24) 2012-2013 (20) 2014-2015 (17) 2016-2017 (24) 2018-2019 (24) 2020-2021 (16) 2022-2023 (25) 2024 (7)
Publication types (Num. hits)
article(155) inproceedings(369)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 363 occurrences of 173 keywords

Results
Found 524 publication records. Showing 524 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Ali Jahanian 0001, Morteza Saheb Zamani, Mostafa Rezvani, Mehrdad Najibi Evaluating the Metro-on-Chip Methodology to Improve the Congestion and Routability. Search on Bibsonomy CSICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Manuel Saldaña, Lesley Shannon, Jia Shuo Yue, Sikang Bian, John Craig, Paul Chow Routability of Network Topologies in FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Yanhua Wang, Qiang Zhou 0001, Jinian Bian, Junhua Qu VPH: Versatile Routability-Driven Place Algorithm for Hierarchical FPGAs Based on VPR. Search on Bibsonomy CAD/Graphics The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jin-Tai Yan, Zhi-Wei Chen, Kuen-Ming Lin Routability-Driven Track Routing for Coupling Capacitance Reduction. Search on Bibsonomy ICECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Audip Pandit, Ali Akoglu Net Length based Routability Driven Packing. Search on Bibsonomy FPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Yue Zhuo, Hao Li, Qiang Zhou 0001, Yici Cai, Xianlong Hong New timing and routability driven placement algorithms for FPGA synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF congestion driven placement, physical synthesis, timing driven placement, net weight
19Nikhil Jayakumar, Sunil P. Khatri, Kanupriya Gulati, Alexander Sprintson Network coding for routability improvement in VLSI. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Zied Marrakchi, Hayder Mrabet, Habib Mehrez Hierarchical FPGA clustering based on multilevel partitioning approach to improve routability and reduce power dissipation. Search on Bibsonomy ReConFig The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Akshay Sharma, Carl Ebeling, Scott Hauck Architecture-Adaptive Routability-Driven Placement for FPGAs. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Elaheh Bozorgzadeh, Seda Ogrenci Memik, Xiaojian Yang, Majid Sarrafzadeh Routability-Driven Packing: Metrics And Algorithms For Cluster-Based FPGAs. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Katherine Compton, Scott Hauck Track placement: orchestrating routing structures to maximize routability. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jiping Liu, Hongbing Fan, Yu-Liang Wu On improving FPGA routability applying multi-level switch boxes. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Katherine Compton, Scott Hauck Track Placement: Orchestrating Routing Structures to Maximize Routability. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Victor N. Kravets, Prabhakar Kudva Understanding metrics in logic synthesis for routability enhancement. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, VLSI, synthesis, decomposition, layout, congestion, structure, circuits
19Jun-seob Lee, Heeyoung Jung, Sung-han Kim, Seok Joo Koh, Jae Hong Min Return Routability Procedure between MAP and MN in HMIPv6. Search on Bibsonomy International Conference on Internet Computing The full citation details ... 2003 DBLP  BibTeX  RDF
19Yu-Jung Huang, Mei-hui Guo, Shen-Li Fu Reliability and routability consideration for MCM placement. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19William N. N. Hung, Xiaoyu Song, Alan J. Coppola, Andrew A. Kennings On segmented channel routability. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Xiaoyu Song, Qian-Yu Tang, Dian Zhou, Yuke Wang Wire space estimation and routability analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Cheng-Hsing Yang, Sao-Jie Chen, Jan-Ming Ho, Chia-Chun Tsai Efficient routability check algorithms for segmented channel routing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field programmable gate arryas (FPGAs), segmented channel, routing
19Aman Shaikh, Anujan Varma, Lampros Kalampoukas, Rohit Dube Routability stability in congested networks: experimentation and analysis. Search on Bibsonomy SIGCOMM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Probir Sarkar, Vivek Sundararaman, Cheng-Kok Koh Routability-driven repeater block planning for interconnect-centric floorplanning. Search on Bibsonomy ISPD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Jin-Tai Yan Routability Crossing Distribution and Floating Pin Assignment for T-type Junction Region. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Kusnadi, Jo Dale Carothers A method of measuring nets routability for MCM's general area routing problems. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Majid Sarrafzadeh, Toshihiko Takahashi A fast algorithm for routability testing. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19R. Glenn Wood, Rob A. Rutenbar FPGA Routing and Routability Estimation via Boolean Satisfiability. Search on Bibsonomy FPGA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Naoyuki Iso, Yasushi Kawaguchi, Tomio Hirata Efficient routability checking for global wires in planar layouts. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Toshiyuki Hama, Hiroaki Etoh Topological routing path search algorithm with incremental routability test. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Yu-Liang Wu, Douglas Chang, Malgorzata Marek-Sadowska, Shuji Tsukiyama Not necessarily more switches more routability [sic.]. Search on Bibsonomy ASP-DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Stephen Dean Brown, Muhammad M. Khellah, Guy Lemieux Segmented Routing for Speed-Performance and Routability in Field-Programmable Gate Arrays. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19F. Miller Maley Testing Homotopic Routability Under Polygonal Wiring Rules. Search on Bibsonomy Algorithmica The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Joel Darnauer, Wayne Wei-Ming Dai A Method for Generating Random Circuits and Its Application to Routability Measurement. Search on Bibsonomy FPGA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Yasuhiro Takashima, Atsushi Takahashi 0001, Yoji Kajitani Detailed-Routability of FPGAs with Extremal Switch-Block Structures. Search on Bibsonomy ED&TC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Kaushik Roy 0001, Sudip Nag On Routability for FPGAs under Faulty Conditions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Yuh-Sheng Lee, Allen C.-H. Wu A Performance and Routability Driven Router for FPGAs Considering Path Delays. Search on Bibsonomy DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Om P. Agrawal A High Density Complex PLD Family Optimized for Flexibility, Predictability and 100% Routability. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Kaushik Roy 0001, Sudip Nag On Channel Architecture and Routability for FPGAs Under Faulty Conditions. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Jin-Tai Yan, Pei-Yung Hsiao Routability crossing distribution and floating terminal assignment of T-type junction region. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Dinesh Bhatia, Amit Chowdhary, Spyros Tragoudas Mathematical model for routability analysis of FPGAs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Mahesh Mehendale Impact of Logic Module Routing Flexibility on the Routability of Antifuse-Based Channelled FPGA Architectures. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Keumog Ahn, Sartaj Sahni Flipping Modules to Improve Circuit Performance and Routability. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Kai Zhu 0001, D. F. Wong 0001 Switch Bound Allocation for Maximizing Routability in Timing-Driven Routing of FPGAs. Search on Bibsonomy DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Patrick Groeneveld Necessary and sufficient conditions for the routability of classical channels. Search on Bibsonomy Integr. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Massoud Pedram, Bahman S. Nobandegani, Bryan Preas Architecture and routability analysis for row-based FPGAs. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Kaushik Roy 0001, Sudip Nag, Santanu Dutta Channel Architecture Optimization for Performance and Routability of Row-Based FPGAs. Search on Bibsonomy ICCD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Pak K. Chan, Martine D. F. Schlag, Jason Y. Zien On Routability Prediction for Field-Programmable Gate Arrays. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF XILINX 3000
19Hirendu Vaishnav, Massoud Pedram Routability-Driven Fanout Optimization. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Martine D. F. Schlag, Jackson Kong, Pak K. Chan Routability-Driven Techology Mapping for LookUp-Table-Based FPGAs. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Wayne Wei-Ming Dai, Raymond Kong, Masao Sato Routability of a Rubber-Band Sketch. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
19Dick W. Harberts, Dré A. J. M. van den Elshout, Harry J. M. Veendrick Design for routability of a high-density gate array. Search on Bibsonomy ICCD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Ten-Hwang Lai, Alan P. Sprague On the Routability of a Convex Grid. Search on Bibsonomy J. Algorithms The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Charles E. Leiserson, F. Miller Maley Algorithms for Routing and Testing Routability of Planar VLSI Layouts Search on Bibsonomy STOC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
19Hing-Cheung So Pin assignment of circuit cards and the routability of multilayer printed wiring backplanes. Search on Bibsonomy DAC The full citation details ... 1973 DBLP  BibTeX  RDF
11Lijuan Luo, Tan Yan, Qiang Ma 0002, Martin D. F. Wong, Toshiyuki Shibuya B-escape: a simultaneous escape routing algorithm based on boundary routing. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PCB routing, dense circuit boards, computer-aided design, escape routing
11Rupesh S. Shelar, Marek Patyra Impact of local interconnects on timing and power in a high performance microprocessor. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CAD, delay, interconnects, power, microprocessor
11Charles J. Alpert, Zhuo Li 0001, Michael D. Moffitt, Gi-Joon Nam, Jarrod A. Roy, Gustavo E. Téllez What makes a design difficult to route. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF congestion driven physical synthesis, routing
11Jia-Wei Fang, Chin-Hsiung Hsu, Yao-Wen Chang An Integer-Linear-Programming-Based Routing Algorithm for Flip-Chip Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Muhammet Mustafa Ozdal Detailed-Routing Algorithms for Dense Pin Clusters in Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Lijuan Luo, Martin D. F. Wong On using SAT to ordered escape problems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Prashant Saxena, Vishal Khandelwal, Changge Qiao, Pei-Hsin Ho, J.-C. Lin, Mahesh A. Iyer On improving optimization effectiveness in interconnect-driven physical synthesis. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, interconnect, physical synthesis, circuit optimization, vlsi
11Suresh Raman, Mike Lubyanitsky Cone Resynthesis ECO Methodology for Multi-Million Gate Designs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11R. Venkatraman, Shrikrishna Pundoor, Arun Koithyar, Madhusudan Rao, Jagdish C. Rao Optimisation Quality Assessment in Large, Complex SoC Designs Challenges and Solutions. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Tung-Chieh Chen, Ping-Hung Yuh, Yao-Wen Chang, Few-Juh Huang, T.-Y. Liu MP-Trees: A Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, Brian Han Full-Chip Routing Considering Double-Via Insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Minsik Cho, David Z. Pan A High-Performance Droplet Routing Algorithm for Digital Microfluidic Biochips. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Tao Xu 0002, Krishnendu Chakrabarty Integrated droplet routing and defect tolerance in the synthesis of digital microfluidic biochips. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Physical design automation, microfluidics, biochips, module placement
11Mingjie Lin The amorphous FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF amorphous, FPGA, architecture, performance analysis
11Tilo Meister, Jens Lienig, Gisbert Thomke Novel Pin Assignment Algorithms for Components with Very High Pin Counts. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Minsik Cho, David Z. Pan A high-performance droplet router for digital microfluidic biochips. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, synthesis, microfluidics, biochip
11Shiyan Hu, Zhuo Li 0001, Charles J. Alpert A polynomial time approximation scheme for timing constrained minimum cost layer assignment. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Chin-Hsiung Hsu, Huang-Yu Chen, Yao-Wen Chang Multi-layer global routing considering via and wire capacities. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Yanheng Zhang, Yue Xu, Chris Chu FastRoute3.0: a fast and high quality global router based on virtual capacity. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jia-Wei Fang, Yao-Wen Chang Area-I/O flip-chip routing for chip-package co-design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jia-Wei Fang, Kuan-Hsien Ho, Yao-Wen Chang Routing for chip-package-board co-design considering differential pairs. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jin Hu, Jarrod A. Roy, Igor L. Markov Sidewinder: a scalable ILP-based router. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF integer linear programming, global routing
11Hidetoshi Kobayashi, Kazumasa Takami Algorithm for Selecting either an Overlay or Flat Route Based on the Amount of the Delay Measurement Load on the Home Agent in a Hierarchical Mobile IPv6 Network. Search on Bibsonomy NEW2AN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Packet delay, Route Selection, Hierarchical Mobile IPv6
11Tung-Chieh Chen, Ashutosh Chakraborty, David Z. Pan An integrated nonlinear placement framework with congestion and porosity aware buffer planning. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VLSI, placement, physical design, buffer
11Tai-Chen Chen, Yao-Wen Chang Multilevel Full-Chip Gridless Routing With Applications to Optical-Proximity Correction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Chiu-Wing Sham, Evangeline F. Y. Young Area reduction by deadspace utilization on interconnect optimized floorplan. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF area reduction, Floorplanning
11Zied Marrakchi, Hayder Mrabet, Christian Masson, Habib Mehrez Mesh of Tree: Unifying Mesh and MFPGA for Better Device Performances. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Daniel Greenfield, Arnab Banerjee, Jeong-Gun Lee, Simon W. Moore Implications of Rent's Rule for NoC Design and Its Fault-Tolerance. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ren-Jie Lee, Ming-Fang Lai, Hung-Ming Chen Fast Flip-Chip Pin-Out Designation Respin by Pin-Block Design and Floorplanning for Package-Board Codesign. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Zhen Cao, Tong Jing, Jinjun Xiong, Yu Hu 0002, Lei He 0001, Xianlong Hong DpRouter: A Fast and Accurate Dynamic-Pattern-Based Global Routing Algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Seonggeun Ryu, Youngsong Mun A Scheme to Enhance TEBU Scheme of Fast Handovers for Mobile IPv6. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Philip Chong, Christian Szegedy A morphing approach to address placement stability. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF incremental placement, stability, morphing
11Eunseok Song, Heeseok Lee, Jungtae Lee, Woojin Jin, Kiwon Choi, Sa-Yoon Kang Upper/Lower Boundary Estimation of Package Interconnect Parasitics for Chip-Package Co-Design. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Chen Li 0004, Cheng-Kok Koh Recursive Function Smoothing of Half-Perimeter Wirelength for Analytical Placement. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jia-Wei Fang, Chin-Hsiung Hsu, Yao-Wen Chang An Integer Linear Programming Based Routing Algorithm for Flip-Chip Design. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Muhammet Mustafa Ozdal Escape Routing For Dense Pin Clusters In Integrated Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Tao Xu 0002, Krishnendu Chakrabarty Integrated Droplet Routing in the Synthesis of Microfluidic Biochips. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Tung-Chieh Chen, Ping-Hung Yuh, Yao-Wen Chang, Fwu-Juh Huang, Denny Liu MP-trees: A Packing-Based Macro Placement Algorithm for Mixed-Size Designs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Yajun Ran, Malgorzata Marek-Sadowska Via-Configurable Routing Architectures and Fast Design Mappability Estimation for Regular Fabrics. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Lihong Zhang, Ulrich Kleine, Yingtao Jiang An automated design tool for analog layouts. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11PariVallal Kannan, Dinesh Bhatia Interconnect estimation for FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Andrew B. Kahng, Sherief Reda Wirelength minimization for min-cut placements via placement feedback. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Gang Chen 0020, Jason Cong Simultaneous placement with clustering and duplication. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF clustering, FPGA, Placement, legalization, duplication, redundancy removal
11Ning Fu, Mitsutoshi Mineshima, Shigetoshi Nakatake Multi-SP: A Representation with United Rectangles for Analog Placement and Routing. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Claudio Menezes, Cristina Meinhardt, Ricardo Reis 0001, Reginaldo Tavares A Regular Layout Approach for ASICs. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Zied Marrakchi, Hayder Mrabet, Habib Mehrez Configuration tools for a new multilevel hierarchical FPGA. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Tai-Chen Chen, Yao-Wen Chang, Shyh-Chang Lin A novel framework for multilevel full-chip gridless routing. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Zhe-Wei Jiang, Tung-Chieh Chen, Tien-Chang Hsu, Hsin-Chen Chen, Yao-Wen Chang NTUplace2: a hybrid placer using partitioning and analytical techniques. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, physical design, legalization
Displaying result #301 - #400 of 524 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license