|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 17946 occurrences of 6671 keywords
|
|
|
Results
Found 27047 publication records. Showing 27047 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
19 | Florent de Dinechin, Sophie Robert |
Hierarchical Static Analysis Of Structured Systems Of Affine Recurrence Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1996 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '96), August 19-23, 1996, Chicago, IL , USA, pp. 381-, 1996, IEEE Computer Society, 0-8186-7542-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
hierarchical static analysis, structured systems, ALPHA language, polyhedral domains, complex algorithms, parameterized structures, ALPHA programs, type-checking process, single assignment rule, programming environments, program verification, specification languages, Gaussian elimination, affine recurrence equations |
19 | G. Enrique Fernandez, R. Sridhar |
Dual rail static CMOS architecture for wave pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 9th International Conference on VLSI Design (VLSI Design 1996), 3-6 January 1996, Bangalore, India, pp. 335-336, 1996, IEEE Computer Society, 0-8186-7228-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
dual rail static CMOS architecture, gate capacitance, storage elements, DRSCMOS, multi-functional basic building blocks, combinational logic block, delays, timing, throughput, combinational circuits, power consumption, pipeline processing, CMOS logic circuits, digital systems, capacitance, wave pipelining, delay variations |
19 | Frédéric Dufaux, Fabrice Moscheni, Andrew Lippman |
Spatio-temporal segmentation based on motion and static segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings 1995 International Conference on Image Processing, Washington, DC, USA, October 23-26, 1995, pp. 306-309, 1995, IEEE Computer Society, 0-8186-7310-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
static segmentation, coherent motion, matching technique, image segmentation, image segmentation, motion estimation, motion estimation, image sequences, image sequence, efficiency, image matching, image representation, simulation results, motion segmentation, image sequence analysis, image regions, scene representation, motion information, spatiotemporal segmentation |
19 | Hyun Seop Bae, Yong Rae Kwon, Hyeon Soo Kim |
An Efficient Debugging Method for Message-based Parallel Programs using Static Analysis Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 2nd Asia-Pacific Software Engineering Conference (APSEC '95), December 6-9, 1995, Brisbane, Queensland, Australia, pp. 96-, 1995, IEEE Computer Society, 0-8186-7171-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
language-based replay, MHB(Minimal Happened Before) model, Detect and Reproduce method, parallel program, static analysis, debugging, probe effects, nondeterminacy, event ordering |
19 | Irith Pomeranz, Sudhakar M. Reddy |
Static compaction for two-pattern test sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 4th Asian Test Symposium (ATS '95), November 23-24, 1995. Bangalore, India, pp. 222-228, 1995, IEEE Computer Society, 0-8186-7129-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
two-pattern test sets, static compaction procedure, test set size reduction, redundant tests removal, redundant patterns removal, CMOS stuck open faults, reordering of tests, digital logic circuits, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, combinational circuits, combinational circuits, automatic testing, fault coverage, CMOS logic circuits, delay faults |
19 | Manjit Borah, Mary Jane Irwin, Robert Michael Owens |
Minimizing power consumption of static CMOS circuits by transistor sizing and input reordering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 294-298, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
power consumption minimisation, static CMOS circuits, input reordering, high fan-out gates, power constrained module generator, PowerSizer, logic CAD, circuit layout CAD, CMOS logic circuits, logic circuits, minimisation, arithmetic circuits, circuit optimisation, integrated circuit layout, transistor sizing |
19 | Sanjay Ranka, Jhy-Chun Wang, Geoffrey C. Fox |
Static and Run-Time Algorithms for All-to-Many Personalized Communication on Permutation Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(12), pp. 1266-1274, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
run-time algorithms, static algorithms, all-to-many personalized communication, scheduling, performance evaluation, multiprocessor interconnection networks, permutation networks, CM-5, run-time scheduling |
19 | Jie Li 0002, Hisao Kameda |
A Decomposition Algorithm for Optimal Static Load Balancing in Tree Hierarchy Network Configurations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(5), pp. 540-548, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
decompositionalgorithm, optimal static load balancing, tree hierarchy network configurations, Dafermos-Sparrow algorithm, FlowDeviation algorithm, star network configuration, computational complexity, load balancing, resource allocation, computer networks, convergence, multiprocessor interconnection networks, distributed memory systems, optimization problem, distributed computer system, convergence of numerical methods, algorithm performance, CPU time |
19 | Oscar G. Plata, Francisco F. Rivera |
Combining static and dynamic scheduling on distributed-memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 8th international conference on Supercomputing, ICS 1994, Manchester, UK, July 11-15, 1994, pp. 186-195, 1994, ACM, 0-89791-665-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
dynamic and static scheduling, load balancing, message-passing, distributed-memory multiprocessors, loop scheduling |
19 | Kurt M. Olender, Leon J. Osterweil |
Cecil: A Sequencing Constraint Language for Automatic Static Analysis Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 16(3), pp. 268-280, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
Cecil, sequencing constraint language, automatic static analysis generation, general mechanism, dataflow analysis algorithms, compiler code optimization, custom-built, dataflow conditions, dataflow analysis problems, parallel programming, specification languages, program compilers, automatic programming |
19 | Jun-Ichi Aoe |
An Efficient Implementation of Static String Pattern Matching Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(8), pp. 1010-1016, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
keyword location, static string pattern matching machines, transition table, triple arrays, Johnsons's data structure, retrieval program, reduced data structure, finite straight program, information retrieval, data structures, finite-state machine, subroutines, implementation technique |
19 | Tadao Murata, Boris Shenker, Sol M. Shatz |
Detection of Ada Static Deadlocks Using Petri Net Invariants. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 15(3), pp. 314-326, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
Ada static deadlocks, Petri net invariants, Ada tasking programs, message-flow, computational complexity, complexities, Petri nets, Ada, concurrency control, program testing, system recovery, control-flow |
18 | Hector Ouilhet |
Google Sky Map: using your phone as an interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile HCI ![In: Proceedings of the 12th Conference on Human-Computer Interaction with Mobile Devices and Services, Mobile HCI 2010, Lisbon, Portugal, September 7-10, 2010, pp. 419-422, 2010, ACM, 978-1-60558-835-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
18 | Saurabh Chheda, Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz |
Combining compiler and runtime IPC predictions to reduce energy in next generation architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 240-254, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
fetch throttling, low power design, instruction level parallelism, compiler architecture interaction, adaptive voltage scaling |
18 | Yongjian Brandon Guo, K. Wayne Current |
Voltage Comparator Circuits for Multiple-Valued CMOS Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 32nd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2002), May 15-18, 2002, Boston, Massachusetts, USA, pp. 67-75, 2002, IEEE Computer Society, 0-7695-1462-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
voltage comparator, MVL, low-power, CMOS |
18 | Selvakumar Samuel, Kesava Pillai Rajadorai |
Mobile multimedia database common issues and future considerations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MoMM ![In: MoMM'2009 - The 7th International Conference on Advances in Mobile Computing and Multimedia, 14-16 December 2009, Kuala Lumpur, Malaysia, pp. 535-539, 2009, ACM, 978-1-60558-659-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
mobile cloud computing, mobile multimedia databases, mobile target (server), static client, static target, security, testing, SaaS, LTE, IaaS, PaaS, mobile client |
18 | Ben L. Titzer, Joshua S. Auerbach, David F. Bacon, Jens Palsberg |
The ExoVM system for automatic VM and application reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2007 Conference on Programming Language Design and Implementation, San Diego, California, USA, June 10-13, 2007, pp. 352-362, 2007, ACM, 978-1-59593-633-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
VM design, VM modularity, pre-initialization, static compilation, embedded systems, static analysis, persistence, feature analysis, dead code elimination |
18 | Soonhoi Ha, Edward A. Lee |
Compile-Time Scheduling and Assignment of Data-Flow Program Graphs with Data-Dependent Iteration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 40(11), pp. 1225-1238, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
data-flow program graphs, data-dependent iteration, fully dynamic, static-assignment, fully static, scheduling, parallel programming, programming, program compilers, assignment, parallel processors, self-timed, compile time scheduling, idle time, program processors, probability mass function |
18 | Alexandru Nicolau |
Run-Time Disambiguation: Coping with Statically Unpredictable Dependencies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 38(5), pp. 663-678, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
statically unpredictable dependencies, run-time disambiguation, indirect memory references, run-time behavior, static transformations, static dependency analysis, Bulldog compiler, parallelism, code, program compilers, antialiasing, memory disambiguation, compile time, RTD |
18 | Marwan Abi-Antoun, Jonathan Aldrich |
Static extraction and conformance analysis of hierarchical runtime architectural structure using annotations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2009, October 25-29, 2009, Orlando, Florida, USA, pp. 321-340, 2009, ACM, 978-1-60558-766-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
architectural extraction, component-and-connector view, conformance analysis, points-to analysis, object graphs, object diagram, runtime architecture |
18 | Michael Furr, Jong-hoon (David) An, Jeffrey S. Foster |
Profile-guided static typing for dynamic scripting languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2009, October 25-29, 2009, Orlando, Florida, USA, pp. 283-300, 2009, ACM, 978-1-60558-766-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
RIL, profile guided anlaysis, scripting languages, ruby |
18 | David Röthlisberger, Marcel Harry, Alex Villazón, Danilo Ansaloni, Walter Binder, Oscar Nierstrasz, Philippe Moret |
Augmenting static source views in IDEs with dynamic metrics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: 25th IEEE International Conference on Software Maintenance (ICSM 2009), September 20-26, 2009, Edmonton, Alberta, Canada, pp. 253-262, 2009, IEEE Computer Society, 978-1-4244-4897-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Qichang Chen, Liqiang Wang, Zijiang Yang 0006, Scott D. Stoller |
HAVE: Detecting Atomicity Violations via Integrated Dynamic and Static Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FASE ![In: Fundamental Approaches to Software Engineering, 12th International Conference, FASE 2009, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009, York, UK, March 22-29, 2009. Proceedings, pp. 425-439, 2009, Springer, 978-3-642-00592-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Jaime Gallego, Montse Pardàs, José Luis Landabaso |
Segmentation and tracking of static and moving objects in video surveillance scenarios. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2008, October 12-15, 2008, San Diego, California, USA, pp. 2716-2719, 2008, IEEE, 978-1-4244-1765-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Weiqing Guo, Yu Zhong, Tom Burd |
Context-sensitive static transistor-level IR analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 797-802, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Sultan M. Al-Harbi, Fadel Noor, Fadi M. Al-Turjman |
March DSS: A New Diagnostic March Test for All Memory Simple Static Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(9), pp. 1713-1720, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Qihua Wang, Ninghui Li |
Direct static enforcement of high-level security policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AsiaCCS ![In: Proceedings of the 2007 ACM Symposium on Information, Computer and Communications Security, ASIACCS 2007, Singapore, March 20-22, 2007, pp. 214-225, 2007, ACM, 1-59593-574-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | David Guerrero Martos, Alejandro Millán 0001, Jorge Juan-Chico, Manuel J. Bellido, Paulino Ruiz-de-Clavijo, Enrique Ostúa, Julian Viejo |
Static Power Consumption in CMOS Gates Using Independent Bodies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 17th International Workshop, PATMOS 2007, Gothenburg, Sweden, September 3-5, 2007, Proceedings, pp. 404-412, 2007, Springer, 978-3-540-74441-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Kamran Sartipi, Nima Dezhkam |
An Amalgamated Dynamic and Static Architecture Reconstruction Framework to Control Component Interactions 259. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCRE ![In: 14th Working Conference on Reverse Engineering (WCRE 2007), 28-31 October 2007, Vancouver, BC, Canada, pp. 259-268, 2007, IEEE Computer Society, 0-7695-3034-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Jinseong Jeon, Keoncheol Shin, Hwansoo Han |
Layout Transformations for Heap Objects Using Static Access Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 16th International Conference, CC 2007, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2007, Braga, Portugal, March 26-30, 2007, Proceedings, pp. 187-201, 2007, Springer, 978-3-540-71228-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Andreas Moser, Christopher Kruegel, Engin Kirda |
Limits of Static Analysis for Malware Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSAC ![In: 23rd Annual Computer Security Applications Conference (ACSAC 2007), December 10-14, 2007, Miami Beach, Florida, USA, pp. 421-430, 2007, IEEE Computer Society, 0-7695-3060-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Lijun Yu, Robert B. France, Indrakshi Ray, Kevin Lano |
A light-weight static approach to analyzing UML behavioral properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 12th International Conference on Engineering of Complex Computer Systems (ICECCS 2007), 10-14 July 2007, Auckland, New Zealand, pp. 56-63, 2007, IEEE Computer Society, 978-0-7695-2895-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Kung Chen, Shu-Chun Weng, Meng Wang, Siau-Cheng Khoo, Chung-Hsin Chen |
A Compilation Model for Aspect-Oriented Polymorphically Typed Functional Languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 14th International Symposium, SAS 2007, Kongens Lyngby, Denmark, August 22-24, 2007, Proceedings, pp. 34-51, 2007, Springer, 978-3-540-74060-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Dan Huang, Sing Kiong Nguang |
Robust Hinfin static output feedback control of fuzzy systems: an ILMI approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Syst. Man Cybern. Part B ![In: IEEE Trans. Syst. Man Cybern. Part B 36(1), pp. 216-222, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Kiran Seth, Aravindh Anantaraman, Frank Mueller 0001, Eric Rotenberg |
FAST: Frequency-aware static timing analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 5(1), pp. 200-224, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
scheduling, Real-time systems, dynamic voltage scaling, worst-case execution time analysis |
18 | Thomas Burger, Alexandre Benoît, Alice Caplier |
Extracting Static Hand Gestures in Dynamic Context. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2006, October 8-11, Atlanta, Georgia, USA, pp. 2081-2084, 2006, IEEE, 1-4244-0480-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Yiu-Pong Lai, Man-Hung Siu |
Consistent Modeling of the Static and Time-Derivative Cepstrums for Speech Recognition Using HSPTM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCSLP ![In: Chinese Spoken Language Processing, 5th International Symposium, ISCSLP 2006, Singapore, December 13-16, 2006, Proceedings, pp. 303-314, 2006, Springer, 3-540-49665-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Han Li, Yili Fu, He Xu, Yulin Ma |
Avoiding Static and Dynamic Objects in Navigation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 639-644, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Chunping Sui, Hongguang Wang, Lijin Fang, Mingyang Zhao |
Static Measuring Model and Deadweight Compensation of a Stewart Platform Based Force/Torque Sensor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 484-489, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | Katy Cooper, Oscar de Bruijn, Robert Spence, Mark Witkowski |
A comparison of static and moving presentation modes for image collections. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AVI ![In: Proceedings of the working conference on Advanced visual interfaces, AVI 2006, Venezia, Italy, May 23-26, 2006, pp. 381-388, 2006, ACM Press, 1-59593-353-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
rapid serial visual presentation (RSVP), user preference, eye-gaze tracking |
18 | Nadia Belblidia, Mourad Debbabi |
Formalizing AspectJ Weaving for Static Pointcuts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEFM ![In: Fourth IEEE International Conference on Software Engineering and Formal Methods (SEFM 2006), 11-15 September 2006, Pune, India, pp. 50-59, 2006, IEEE Computer Society, 0-7695-2678-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
18 | François Bodin, Isabelle Puaut |
A WCET-Oriented Static Branch Prediction Scheme for Real Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 17th Euromicro Conference on Real-Time Systems (ECRTS 2005), 6-8 July 2005, Palma de Mallorca, Spain, Proceedings, pp. 33-40, 2005, IEEE Computer Society, 0-7695-2400-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
18 | Ajay Chander, David Espinosa, Nayeem Islam, Peter Lee 0001, George C. Necula |
Enforcing Resource Bounds via Static Verification of Dynamic Checks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESOP ![In: Programming Languages and Systems, 14th European Symposium on Programming, ESOP 2005, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2005, Edinburgh, UK, April 4-8, 2005, Proceedings, pp. 311-325, 2005, Springer, 3-540-25435-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
18 | Laurent Isenegger, Luis Salgado, Narciso García |
Moving Objects Segmentation Based on Automatic Foreground / Background Identification of Static Elements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIVS ![In: Advanced Concepts for Intelligent Vision Systems, 7th International Conference, ACIVS 2005, Antwerp, Belgium, September 20-23, 2005, Proceedings, pp. 491-498, 2005, Springer, 3-540-29032-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
18 | Li Deng 0001, Jasha Droppo, Alex Acero |
Estimating cepstrum of speech under the presence of noise using a joint prior of static and dynamic features. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Speech Audio Process. ![In: IEEE Trans. Speech Audio Process. 12(3), pp. 218-233, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Ramadass Nagarajan, Sundeep K. Kushwaha, Doug Burger, Kathryn S. McKinley, Calvin Lin, Stephen W. Keckler |
Static Placement, Dynamic Issue (SPDI) Scheduling for EDGE Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 13th International Conference on Parallel Architectures and Compilation Techniques (PACT 2004), 29 September - 3 October 2004, Antibes Juan-les-Pins, France, pp. 74-84, 2004, IEEE Computer Society, 0-7695-2229-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Chuanjun Zhang, Jun Yang 0002, Frank Vahid |
Low Static-Power Frequent-Value Data Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 214-219, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Hans Langmaack |
Consistency of Inheritance in Object-Oriented Languages and of Static, ALGOL-like Binding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Essays in Memory of Ole-Johan Dahl ![In: From Object-Orientation to Formal Methods, Essays in Memory of Ole-Johan Dahl, pp. 209-235, 2004, Springer, 3-540-21366-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Masud H. Chowdhury, Yehea I. Ismail |
Possible Noise Failure Modes in Static and Dynamic Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSOC ![In: Proceedings of the 4th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC'04), 19-21 July 2004, Banff, Alberta, Canada, pp. 123-126, 2004, IEEE Computer Society, 0-7695-2182-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
18 | Avrim Blum, Shuchi Chawla 0001, Adam Kalai |
Static Optimality and Dynamic Search-Optimality in Lists and Trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 36(3), pp. 249-260, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Adaptive data structures, Experts Analysis, Competitive Analysis, Binary search trees |
18 | Björn Andersson, Jan Jonsson |
The Utilization Bounds of Partitioned and Pfair Static-Priority Scheduling on Multiprocessors are 50%. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 15th Euromicro Conference on Real-Time Systems (ECRTS 2003), 2-4 July 2003, Porto, Portugal, Proceedings, pp. 33-40, 2003, IEEE Computer Society, 0-7695-1936-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Peter G. Bishop, Robin E. Bloomfield, Tim Clement, Sofia Guerra, Claire Jones |
Integrity Static Analysis of COTS/SOUP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAFECOMP ![In: Computer Safety, Reliability, and Security, 22nd International Conference, SAFECOMP 2003, Edinburgh, UK, September 23-26, 2003, Proceedings, pp. 63-76, 2003, Springer, 3-540-20126-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Kiran Seth, Aravindh Anantaraman, Frank Mueller 0001, Eric Rotenberg |
FAST: Frequency-Aware Static Timing Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 24th IEEE Real-Time Systems Symposium (RTSS 2003), 3-5 December 2003, Cancun, Mexico, pp. 40-51, 2003, IEEE Computer Society, 0-7695-2044-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
18 | Ken Tseng, Vinod Kariat |
Static noise analysis with noise windows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 864-868, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
noise, crosstalk, signal integrity |
18 | Avrim Blum, Shuchi Chawla 0001, Adam Kalai |
Static optimality and dynamic search-optimality in lists and trees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Thirteenth Annual ACM-SIAM Symposium on Discrete Algorithms, January 6-8, 2002, San Francisco, CA, USA., pp. 1-8, 2002, ACM/SIAM, 0-89871-513-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP BibTeX RDF |
|
18 | Thomas Eisenbarth 0003, Rainer Koschke, Gunther Vogel |
Static Trace Extraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCRE ![In: 9th Working Conference on Reverse Engineering (WCRE 2002), 28 October - 1 November 2002, Richmond, VA, USA, pp. 128-, 2002, IEEE Computer Society, 0-7695-1799-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
18 | Wuwei Shen, Kevin J. Compton, James Huggins |
A Toolset for Supporting UML Static and Dynamic Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 26th International Computer Software and Applications Conference (COMPSAC 2002), Prolonging Software Life: Development and Redevelopment, 26-29 August 2002, Oxford, England, Proceedings, pp. 147-152, 2002, IEEE Computer Society, 0-7695-1727-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
18 | Geun Rae Cho, Tom Chen 0001 |
On The Impact of Technology Scaling On Mixed PTL/Static Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 20th International Conference on Computer Design (ICCD 2002), VLSI in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings, pp. 322-326, 2002, IEEE Computer Society, 0-7695-1700-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
18 | Yanbin Jiang, Sachin S. Sapatnekar, Cyrus Bamji |
Technology mapping for high-performance static CMOS and pass transistor logic designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 9(5), pp. 577-589, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
18 | Till Mossakowski, Bartek Klin |
Institution Independent Static Analysis for CASL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WADT ![In: Recent Trends in Algebraic Development Techniques, 15th International Workshop, WADT 2001, Joint with the CoFI WG Meeting, Genova, Italy, April 1-3, 2001, Selected Papers, pp. 221-237, 2001, Springer, 3-540-43159-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
18 | Markus Mock, Manuvir Das, Craig Chambers, Susan J. Eggers |
Dynamic points-to sets: a comparison with static analyses and potential applications in program understanding and optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PASTE ![In: Proceedings of the 2001 ACM SIGPLAN-SIGSOFT Workshop on Program Analysis For Software Tools and Engineering, PASTE'01, Snowbird, Utah, USA, June 18-19, 2001, pp. 66-72, 2001, ACM, 1-58113-413-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
calpa, dynamic analysis, program understanding, program optimization, points-to analysis, alias analysis, program instrumentation |
18 | Nahomi Kikuchi, Tohru Kikuno |
Improving the Testing Process by Program Static Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 8th Asia-Pacific Software Engineering Conference (APSEC 2001), 4-7 December 2001, Macau, China, pp. 195-201, 2001, IEEE Computer Society, 0-7695-1408-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
18 | Guillaume P. Brat, Willem Visser |
Combining Static Analysis and Model Checking for Software Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 16th IEEE International Conference on Automated Software Engineering (ASE 2001), 26-29 November 2001, Coronado Island, San Diego, CA, USA, pp. 262-, 2001, IEEE Computer Society, 0-7695-1426-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
18 | Manuvir Das |
Static Analysis of Large Programs: Some Experiences (Abstract of Invited Talk). ![Search on Bibsonomy](Pics/bibsonomy.png) |
PEPM ![In: Proceedings of the 2000 ACM SIGPLAN Workshop on Partial Evaluation and Semantics-Based Program Manipulation (PEPM '00), Boston, Massachusetts, USA, January 22-23, 2000, pp. 1, 2000, ACM, 1-58113-201-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
18 | John C. Grundy, John G. Hosking |
High-Level Static and Dynamic Visualization of Software Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VL ![In: 2000 IEEE International Symposium on Visual Languages, VL 2000, Seattle, Washington, USA, September 10-13, 2000, Proceedings., pp. 5-12, 2000, IEEE Computer Society, 0-7695-0840-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
18 | Kenneth L. Shepard, Vinod Narayanan, Ron Rose |
Harmony: static noise analysis of deep submicron digital integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(8), pp. 1132-1150, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
18 | Marco Sgroi, Luciano Lavagno, Yosinori Watanabe, Alberto L. Sangiovanni-Vincentelli |
Quasi-Static Scheduling of Embedded Software Using Equal Conflict Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICATPN ![In: Application and Theory of Petri Nets 1999, 20th International Conference, ICATPN '99, Williamsburg, Virginia, USA, June 21-25, 1999, Proceedings, pp. 208-227, 1999, Springer, 3-540-66132-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
18 | Vinod Narayanan, Barbara A. Chappell, Bruce M. Fleischer |
Static timing analysis for self resetting circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 119-126, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
18 | Cristina Cifuentes, Vishv M. Malhotra |
Binary Translation: Static, Dynamic, Retargetable? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: 1996 International Conference on Software Maintenance (ICSM '96), 4-8 November 1996, Monterey, CA, USA, Proceedings, pp. 340-349, 1996, IEEE Computer Society, 0-8186-7677-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
18 | Tim A. Wagner, Vance Maverick, Susan L. Graham, Michael A. Harrison |
Accurate Static Estimators for Program Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'94 Conference on Programming Language Design and Implementation (PLDI), Orlando, Florida, USA, June 20-24, 1994, pp. 85-96, 1994, ACM, 0-89791-662-X. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
C |
18 | Richard C. Bodner |
A comparison of identification rates of static and animated buttons. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASCON ![In: Proceedings of the 1994 Conference of the Centre for Advanced Studies on Collaborative Research, October 31 - November 3, 1994, Toronto, Ontario, Canada, pp. 5, 1994, IBM. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
17 | Mangala Gowri Nanda, Monika Gupta 0002, Saurabh Sinha, Satish Chandra 0001, David Schmidt, Pradeep Balachandran |
Making defect-finding tools work for you. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE (2) ![In: Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 2, ICSE 2010, Cape Town, South Africa, 1-8 May 2010, pp. 99-108, 2010, ACM. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
defect differencing, defect merging, defect prioritization, defect views, static analysis portal |
17 | Naoko Nitta, Yoshimasa Takahashi, Noboru Babaguchi |
Automatic personalized video abstraction for sports videos using metadata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 41(1), pp. 1-25, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Dynamic video abstract, Static video abstract, Personalization, Metadata, Video abstraction |
17 | Dipankar Das 0002, P. P. Chakrabarti 0001, Rajeev Kumar 0004 |
Scenario-based timing verification of multiprocessor embedded applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 14(3), pp. 37:1-37:58, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
execution scenarios, real time systems, static timing analysis, Timing verification |
17 | Jörg Brauer, Bastian Schlich, Thomas Reinbacher, Stefan Kowalewski |
Stack bounds analysis for microcontroller assembly code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WESS ![In: Proceedings of the 4th Workshop on Embedded Systems Security, WESS 2009, Grenoble, France, October 15, 2009, 2009, ACM, 978-1-60558-700-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
model checking, verification, static analysis, embedded software, assembly code |
17 | Takanori Komatsu, Hideaki Kaneko, Takashi Komeda |
Investigating the Effects of Gain and Loss of Esteem on Human-Robot Interaction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FIRA ![In: Advances in Robotics, FIRA RoboWorld Congress 2009, Incheon, Korea, August 16-20, 2009. Proceedings, pp. 87-94, 2009, Springer, 978-3-642-03982-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
gain and loss of esteem, static/dynamic design, verbal instruction |
17 | Nomair A. Naeem, Ondrej Lhoták |
Typestate-like analysis of multiple interacting objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 23rd Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2008, October 19-23, 2008, Nashville, TN, USA, pp. 347-366, 2008, ACM, 978-1-60558-215-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
tracematches, static analysis, typestate |
17 | Pietro Ferrara 0001, Francesco Logozzo, Manuel Fähndrich |
Safer unsafe code for .NET. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 23rd Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2008, October 19-23, 2008, Nashville, TN, USA, pp. 329-346, 2008, ACM, 978-1-60558-215-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
bounds checking, pointer indexing, static analysis, abstract interpretation, design by contract, NET, abstract domains |
17 | Ben Wiedermann, Ali Ibrahim, William R. Cook |
Interprocedural query extraction for transparent persistence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 23rd Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2008, October 19-23, 2008, Nashville, TN, USA, pp. 19-36, 2008, ACM, 978-1-60558-215-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
databases, static analysis, programming languages, attribute grammars, object-relational mapping |
17 | Amit Pabalkar, Aviral Shrivastava, Arun Kannan, Jongeun Lee |
SDRM: Simultaneous Determination of Regions and Function-to-Region Mapping for Scratchpad Memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2008, 15th International Conference, Bangalore, India, December 17-20, 2008. Proceedings, pp. 569-582, 2008, Springer, 978-3-540-89893-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Code overlay, Compilers, Scratchpad memory, Static code analysis |
17 | David Hovemeyer, William W. Pugh |
Status report on JSR-305: annotations for software defect detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 22nd Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2007, October 21-25, 2007, Montreal, Quebec, Canada, pp. 799-800, 2007, ACM, 978-1-59593-865-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Java, specifications, static analysis, software quality, bugs |
17 | Jean Souyris, David Delmas |
Experimental Assessment of Astrée on Safety-Critical Avionics Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAFECOMP ![In: Computer Safety, Reliability, and Security, 26th International Conference, SAFECOMP 2007, Nuremberg, Germany, September 18-21, 2007., pp. 479-490, 2007, Springer, 978-3-540-75100-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
avionics software, run-time errors, Astrée, verification, static analysis, Abstract Interpretation, safety |
17 | Pedro de la Cámara, María-del-Mar Gallardo, Pedro Merino 0001 |
Abstract Matching for Software Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPIN ![In: Model Checking Software, 13th International SPIN Workshop, Vienna, Austria, March 30 - April 1, 2006, Proceedings, pp. 182-200, 2006, Springer, 3-540-33102-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Static Analysis, State Explosion, Model Extraction |
17 | Hyungwoo Kang, Kibom Kim, Soon Jwa Hong, Dong Hoon Lee 0001 |
A Model for Security Vulnerability Pattern. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (3) ![In: Computational Science and Its Applications - ICCSA 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part III, pp. 385-394, 2006, Springer, 3-540-34075-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Abstract Syntax Tree (AST), Static analysis, Buffer overflow, Software security, Pushdown Automata (PDA) |
17 | Pablo González de Santos, Joaquín Estremera, Elena Garcia, Manuel A. Armada |
Including Joint Torques and Power Consumption in the Stability Margin of Walking Robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Auton. Robots ![In: Auton. Robots 18(1), pp. 43-57, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
walking locomotion, static stability, legged robots, stability measurements |
17 | Dennis Brylow, Jens Palsberg |
Deadline Analysis of Interrupt-Driven Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 30(10), pp. 634-655, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
multiresolution static analysis, Real time, testing oracles |
17 | Bruno Blanchet |
Escape analysis for JavaTM: Theory and practice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 25(6), pp. 713-775, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
stack allocation, synchronization elimination, Java, optimization, static analysis |
17 | Dennis Brylow, Jens Palsberg |
Deadline analysis of interrupt-driven software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC / SIGSOFT FSE ![In: Proceedings of the 11th ACM SIGSOFT Symposium on Foundations of Software Engineering 2003 held jointly with 9th European Software Engineering Conference, ESEC/FSE 2003, Helsinki, Finland, September 1-5, 2003, pp. 198-207, 2003, ACM, 978-1-58113-743-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
multi-resolution static analysis, real time, testing oracles |
17 | L. P. Breker, Carey L. Williamson |
A Simulation Study of Usage-Based Pricing Strategies for Packet-Switched Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: Proceedings 21st Conference on Local Computer Networks, Minneapolis, Minnesota, USA, October 13-16, 1996, pp. 278-288, 1996, IEEE Computer Society, 0-8186-7617-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
usage-based pricing strategies, static strategy simulations, price per packet, dynamic pricing strategy simulations, user bandwidth demand, network efficiency, performance evaluation, computer networks, packet-switched networks, simulation study, revenue, network utilization |
17 | Wei Wu, Wei Lu, Masao Sakauchi |
An object-oriented model for drawing understanding and its ability of noise absorption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Third International Conference on Document Analysis and Recognition, ICDAR 1995, August 14 - 15, 1995, Montreal, Canada. Volume I, pp. 261-264, 1995, IEEE Computer Society, 0-8186-7128-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
drawing understanding, noise absorption, MTDM, Matching Tree Driving Model, dynamic description, static description, matching tree, multitarget platform, multipurpose platform, object-oriented programming, image recognition, document image processing, object-oriented model, tree structure |
17 | Amal Chakraborty, Donald C. S. Allison, Calvin J. Ribbens, Layne T. Watson |
The Parallel Complexity of Embedding Algorithms for the Solution of Systems of Nonlinear Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(4), pp. 458-465, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
embedding algorithms, static rectangular grid mapping, mathematical software package, dynamicassignment, parallel algorithms, computational complexity, hypercube, nonlinear equations, nonlinear equations, Jacobian matrix, parallel complexity |
17 | Silvian Calman, Jianwen Zhu |
Increasing the Scope and Resolution of Interprocedural Static Single Assignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 16th International Symposium, SAS 2009, Los Angeles, CA, USA, August 9-11, 2009. Proceedings, pp. 154-170, 2009, Springer, 978-3-642-03236-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
dataflow, constant propagation, SSA, interprocedural |
17 | Michael Huggett, Joel Lanir |
Static reformulation: a user study of static hypertext for query-based reformulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JCDL ![In: ACM/IEEE Joint Conference on Digital Libraries, JCDL 2007, Vancouver, BC, Canada, June 18-23, 2007, Proceedings, pp. 319-328, 2007, ACM, 978-1-59593-644-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
associative similarity networks, automatically-constructed hypertext, query by reformulation, search versus browse |
17 | Xavier Allamigeon, Wenceslas Godard, Charles Hymans |
Static Analysis of String Manipulations in Critical Embedded C Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 13th International Symposium, SAS 2006, Seoul, Korea, August 29-31, 2006, Proceedings, pp. 35-51, 2006, Springer, 3-540-37756-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Eric Goubault, Sylvie Putot |
Static Analysis of Numerical Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 13th International Symposium, SAS 2006, Seoul, Korea, August 29-31, 2006, Proceedings, pp. 18-34, 2006, Springer, 3-540-37756-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Samson Abramsky |
Algorithmic Game Semantics and Static Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 12th International Symposium, SAS 2005, London, UK, September 7-9, 2005, Proceedings, pp. 1, 2005, Springer, 3-540-28584-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
17 | Charles Hymans, Eben Upton |
Static Analysis of Gated Data Dependence Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 11th International Symposium, SAS 2004, Verona, Italy, August 26-28, 2004, Proceedings, pp. 197-211, 2004, Springer, 3-540-22791-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Ted Kremenek, Dawson R. Engler |
Z-Ranking: Using Statistical Analysis to Counter the Impact of Static Analysis Approximations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 10th International Symposium, SAS 2003, San Diego, CA, USA, June 11-13, 2003, Proceedings, pp. 295-315, 2003, Springer, 3-540-40325-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
17 | Roman Manevich, G. Ramalingam, John Field, Deepak Goyal, Shmuel Sagiv |
Compactly Representing First-Order Structures for Static Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 9th International Symposium, SAS 2002, Madrid, Spain, September 17-20, 2002, Proceedings, pp. 196-212, 2002, Springer, 3-540-44235-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Matthieu Martel |
Static Analysis of the Numerical Stability of Loops. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 9th International Symposium, SAS 2002, Madrid, Spain, September 17-20, 2002, Proceedings, pp. 133-150, 2002, Springer, 3-540-44235-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Relational Analysis, Semantics of Floating-point Numbers, Abstract Interpretation, Numerical Precision |
Displaying result #301 - #400 of 27047 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|