|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 522 occurrences of 388 keywords
|
|
|
Results
Found 667 publication records. Showing 667 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
15 | Sem C. Borst, Iraj Saniee, Philip A. Whiting |
Distributed Dynamic Load Balancing in Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Managing Traffic Performance in Converged Networks, 20th International Teletraffic Congress, ITC20 2007, Ottawa, Canada, June 17-21, 2007, Proceedings, pp. 1024-1037, 2007, Springer, 978-3-540-72989-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Michele Garetto, Paolo Giaccone, Emilio Leonardi |
Capacity scaling in delay tolerant networks with heterogeneous mobile nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the 8th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2007, Montreal, Quebec, Canada, September 9-14, 2007, pp. 41-50, 2007, ACM, 978-1-59593-684-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
routing, delay tolerant networking, network capacity |
15 | Shiyuan Wang, Beng Chin Ooi, Anthony K. H. Tung, Lizhen Xu |
Efficient Skyline Query Processing on Peer-to-Peer Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 23rd International Conference on Data Engineering, ICDE 2007, The Marmara Hotel, Istanbul, Turkey, April 15-20, 2007, pp. 1126-1135, 2007, IEEE Computer Society, 1-4244-0802-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Simone Nasser Matos, Clovis Torres Fernandes |
Measuring Reuse during the Analysis Phase of Domain Framework Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSEA ![In: Proceedings of the Second International Conference on Software Engineering Advances (ICSEA 2007), August 25-31, 2007, Cap Esterel, French Riviera, France, pp. 7, 2007, IEEE Computer Society, 0-7695-2937-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Ayse Kivilcim Coskun, Tajana Simunic Rosing, Keith Whisnant |
Temperature aware task scheduling in MPSoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1659-1664, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Pu Wang 0001, Cheng Li 0005, Jun Zheng 0002 |
Distributed Minimum-Cost Clustering Protocol for UnderWater Sensor Networks (UWSNs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2007, Glasgow, Scotland, UK, 24-28 June 2007, pp. 3510-3515, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Roel Meeuws, Yana Yankova, Koen Bertels, Georgi Gaydadjiev, Stamatis Vassiliadis |
A Quantitative Prediction Model for Hardware/Software Partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: FPL 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007, pp. 735-739, 2007, IEEE, 1-4244-1060-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Yefim Shuf, Ian M. Steiner |
Characterizing a Complex J2EE Workload: A Comprehensive Analysis and Opportunities for Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 44-53, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
J2EE workload, Java benchmarks, SPECjvm98, SPECjbb2000, Java 2 Enterprise Edition, SPECjAppServer2004, systems research, software research, cache-to-cache modified data transfers, intelligent thread co-scheduling, Java heap, bursty data cache, Java virtual method calls, optimizations, performance analysis, garbage collection, instruction cache, data prefetching, commercial workload |
15 | Muhammad Shafique 0001, Lars Bauer, Jörg Henkel |
An Optimized Application Architecture of the H.264 Video Encoder for Application Specific Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESTIMedia ![In: Proceedings of the 2007 5th Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2007, October 4-5, Salzburg, Austria, conjunction with CODES+ISSS 2007, pp. 119-124, 2007, IEEE Computer Society, 978-1-4244-1654-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Jianjun Zhang 0001, Gong Zhang 0008, Ling Liu 0001 |
GeoGrid: A Scalable Location Service Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 27th IEEE International Conference on Distributed Computing Systems (ICDCS 2007), June 25-29, 2007, Toronto, Ontario, Canada, pp. 60, 2007, IEEE Computer Society, 0-7695-2837-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Insun Lee, Jihoon Lee, William A. Arbaugh, Daeyoung Kim 0001 |
Dynamic Distributed Authentication Scheme for Wireless LAN-Based Mesh Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: Information Networking. Towards Ubiquitous Networking and Services, International Conference, ICOIN 2007, Estoril, Portugal, January 23-25, 2007. Revised Selected Papers, pp. 649-658, 2007, Springer, 978-3-540-89523-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Distributed authentication, WLAN, Wireless Mesh network |
15 | Narayan V. Marathe, Gangadhar S. Biradar, Uday B. Desai, S. N. Merchant |
A Comprehensive Call Management Strategy for Congestion Control in Cellular Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMSWARE ![In: Proceedings of the Second International Conference on COMmunication System softWAre and MiddlewaRE (COMSWARE 2007), January 7-12, 2007, Bangalore, India, 2007, IEEE, 1-4244-0614-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Rahul Nagpal, Y. N. Srikant |
Register File Energy Optimization for Snooping Based Clustered VLIW Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 19th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2007), 24-27 October 2007, Gramado, RS, Brazil, pp. 161-168, 2007, IEEE Computer Society, 0-7695-3014-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Nauman Aslam, William J. Phillips, William Robertson 0001, Shyamala C. Sivakumar |
Balancing Energy Dissipation in Clustered Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA Workshops ![In: Frontiers of High Performance Computing and Networking ISPA 2007 Workshops, ISPA 2007 International Workshops SSDSN, UPWN, WISH, SGC, ParDMCom, HiPCoMB, and IST-AWSN Niagara Falls, Canada, August 28 - September 1, 2007, Proceedings, pp. 465-474, 2007, Springer, 978-3-540-74766-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Sudarshan S. Chawathe |
Organizing Hot-Spot Police Patrol Routes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISI ![In: IEEE International Conference on Intelligence and Security Informatics, ISI 2007, New Brunswick, New Jersey, USA, May 23-24, 2007, Proceedings, pp. 79-86, 2007, IEEE, 1-4244-1329-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Jianhua Feng, Na Ta 0001, Guoliang Li 0001, Yu Liu 0068, Dapeng Lv |
A framework of semantic cache for secure XML query answering: an interesting joint and novel perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Infoscale ![In: Proceedings of the 2nf International Conference on Scalable Information Systems, Infoscale 2007, Suzhou, China, June 6-8, 2007, pp. 51, 2007, ACM, 978-1-59593-757-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
security, XML, semantic cache |
15 | Santiago Remersaro, Xijiang Lin, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski |
Low Shift and Capture Power Scan Tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India, pp. 793-798, 2007, IEEE Computer Society, 0-7695-2762-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | José Paulo Leal, Marcos Aurélio Domingues |
Rapid Development of Web Interfaces to Heterogeneous Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFSEM (1) ![In: SOFSEM 2007: Theory and Practice of Computer Science, 33rd Conference on Current Trends in Theory and Practice of Computer Science, Harrachov, Czech Republic, January 20-26, 2007, Proceedings, pp. 716-725, 2007, Springer, 978-3-540-69506-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Stephen P. Masticola |
Lightweight Risk Mitigation for Software Development Projects Using Repository Mining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSR ![In: Fourth International Workshop on Mining Software Repositories, MSR 2007 (ICSE Workshop), Minneapolis, MN, USA, May 19-20, 2007, Proceedings, pp. 13, 2007, IEEE Computer Society, 0-7695-2950-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Yaling Yang, Jun Wang 0011, Robin Kravets |
Load-balanced routing for mesh networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGMOBILE Mob. Comput. Commun. Rev. ![In: ACM SIGMOBILE Mob. Comput. Commun. Rev. 10(4), pp. 3-5, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | John Hershberger 0001, Nisheeth Shrivastava, Subhash Suri, Csaba D. Tóth |
Adaptive Spatial Partitioning for Multidimensional Data Streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 46(1), pp. 97-117, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Yih-En Andrew Ban, Herbert Edelsbrunner, Johannes Rudolph |
Interface surfaces for protein-protein complexes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 53(3), pp. 361-378, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
geometric and topological algorithms, interface surfaces, Voronoi diagrams, Filtrations, protein interaction |
15 | Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001, Jinian Bian, Hannah Honghua Yang, Vijay Pitchumani |
Efficient thermal-oriented 3D floorplanning and thermal via planning for two-stacked-die integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(2), pp. 325-345, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
floorplanning, thermal, 3D IC |
15 | Qing Fang, Jie Gao 0001, Leonidas J. Guibas |
Locating and Bypassing Holes in Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mob. Networks Appl. ![In: Mob. Networks Appl. 11(2), pp. 187-200, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
sensor networks, routing, distributed algorithms |
15 | Vijay Gopalakrishnan, Bobby Bhattacharjee, Peter J. Keleher |
Distributing Google. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE Workshops ![In: Proceedings of the 22nd International Conference on Data Engineering Workshops, ICDE 2006, 3-7 April 2006, Atlanta, GA, USA, pp. 33, 2006, IEEE Computer Society, 0-7695-2571-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Junzhou Huang, Xiaolei Huang 0001, Dimitris N. Metaxas, Debarata Banerjee |
3D tumor shape reconstruction from 2D bioluminescence images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: Proceedings of the 2006 IEEE International Symposium on Biomedical Imaging: From Nano to Macro, Arlington, VA, USA, 6-9 April 2006, pp. 606-609, 2006, IEEE, 0-7803-9577-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Patrick Fuhrmann, Volker Gülzow |
dCache, Storage System for the Future. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings, pp. 1106-1113, 2006, Springer, 3-540-37783-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Chi Ma, Zhenghao Zhang, Yuanyuan Yang 0001 |
Battery-aware router scheduling in wireless mesh networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Taskin Koçak, Jacob Engel |
Performance evaluation of wormhole routed network processor-memory interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Eduard Garcia Villegas, Rafael Vidal Ferré, Josep Paradells Aspas |
Load Balancing in WLANs through IEEE 802.11k Mechanisms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the 11th IEEE Symposium on Computers and Communications (ISCC 2006), 26-29 June 2006, Cagliari, Sardinia, Italy, pp. 844-850, 2006, IEEE Computer Society, 0-7695-2588-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Anwitaman Datta, Wolfgang Nejdl, Karl Aberer |
Optimal Caching for First-Order Query Load-Balancing in Decentralized Index Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DBISP2P ![In: Databases, Information Systems, and Peer-to-Peer Computing, International Workshops, DBISP2P 2005/2006, Trondheim, Norway, August 28-29, 2005, Seoul, Korea, September 11, 2006, Revised Selected Papers, pp. 331-342, 2006, Springer, 978-3-540-71660-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Yijun Lu, Xueming Li |
An Analytical Study of FairOM: A Fair Overlay Multicast Protocol for Internet-Scale Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWNAS ![In: 2006 International Workshop on Networking, Architecture and Storages (IWNAS 2006), 1-3 August 2006, Shenyang, China, pp. 51-52, 2006, IEEE Computer Society, 0-7695-2651-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Somsubhra Mondal, Rajarshi Mukherjee, Seda Ogrenci Memik |
Fine-grain thermal profiling and sensor insertion for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Kensuke Tanaka, Noriko Matsumoto, Norihiko Yoshida |
Adaptive Router Promotion in Ad-Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC Workshops ![In: Emerging Directions in Embedded and Ubiquitous Computing, EUC 2006 Workshops: NCUS, SecUbiq, USN, TRUST, ESO, and MSA, Seoul, Korea, August 1-4, 2006, Proceedings, pp. 1-10, 2006, Springer, 3-540-36850-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Network Traffic Reduction, Ad-hoc Network, Reactive Routing, Cluster-based Routing, Adaptive Network |
15 | Zarka Cvetanovic |
Performance tools - Performance tools for large-scale clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, USA, pp. 7, 2006, ACM Press, 0-7695-2700-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Shishir Bharathi, Ann L. Chervenak |
Design of a Peer-to-Peer Information System Using the GT4 Index Service. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GRID ![In: 7th IEEE/ACM International Conference on Grid Computing (GRID 2006), September 28-29, 2006, Barcelona, Spain, Proceedings, pp. 321-322, 2006, IEEE Computer Society, 1-4244-0344-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Rajendra M. Patrikar, Olivier Peyran |
Design Planning for Uniform Thermal Distribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 19th International Conference on VLSI Design (VLSI Design 2006), 3-7 January 2006, Hyderabad, India, pp. 541-544, 2006, IEEE Computer Society, 0-7695-2502-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Maria Prodanova, Juan L. Pérez, Dimiter Syrakov, Roberto San José García, Kostadin Ganev, Nikolai Miloshev, Stefan Roglev |
Simulation of an Extreme Air Pollution Episode in the City of Stara Zagora, Bulgaria. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Numerical Methods and Applications ![In: Numerical Methods and Applications, 6th International Conference, NMA 2006, Borovets, Bulgaria, August 20-24, 2006. Revised Papers, pp. 483-491, 2006, Springer, 978-3-540-70940-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | J. W. McPherson |
Reliability challenges for 45nm and beyond. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 176-181, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
design, reliability, CMOS, scaling, materials |
15 | Ju-wook Jang, Seonil B. Choi, Viktor K. Prasanna |
Energy- and time-efficient matrix multiplication on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(11), pp. 1305-1319, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | James Chin, Mehrdad Nourani |
FITS: An Integrated ILP-Based Test Scheduling Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(12), pp. 1598-1613, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
ILP formulation, grid, system-on-chip, hot-spot, Automatic test equipment, test schedule, trade-off, test access mechanism, embedded core, power profile |
15 | Baowen Xu, Ju Qian, Xiaofang Zhang, Zhongqiang Wu, Lin Chen 0015 |
A brief survey of program slicing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 30(2), pp. 1-36, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
debugging, program analysis, program slicing, dependence analysis, pointer analysis |
15 | Hung-Ming Chen, Li-Da Huang, I-Min Liu, Martin D. F. Wong |
Simultaneous power supply planning and noise avoidance in floorplan design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(4), pp. 578-587, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Antonis Oikonomou, Panagiotis Demestichas, Kostas Tsagkaris, George Koundourakis, Michael E. Theologou |
Management of the power control operation in HIPERLAN/2 networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 9(2), pp. 128-142, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
HIPERLAN/2, IEEE 802.11h, Power control, IEEE 802.11a |
15 | Toshio Suganuma, Toshiaki Yasue, Motohiro Kawahito, Hideaki Komatsu, Toshio Nakatani |
Design and evaluation of dynamic optimizations for a Java just-in-time compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 27(4), pp. 732-785, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Recompilation, code specialization, profile-directed method inlining, dynamic compilation, JIT compiler, adaptive optimization |
15 | Constantine Katsinis |
Block Migration in Broadcast-based Multiprocessor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCA ![In: Fourth IEEE International Symposium on Network Computing and Applications (NCA 2005), 27-29 July 2005, Cambridge, MA, USA, pp. 231-234, 2005, IEEE Computer Society, 0-7695-2326-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Jacek Ratzinger, Michael Fischer 0001, Harald C. Gall |
EvoLens: Lens-View Visualizations of Evolution Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWPSE ![In: 8th International Workshop on Principles of Software Evolution (IWPSE 2005), 5-7 September 2005, Lisbon, Portugal, pp. 103-112, 2005, IEEE Computer Society, 0-7695-2349-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Baochuan Lu, Michael Tinker, Amy W. Apon, Doug Hoffman, Lawrence W. Dowdy |
Adaptive Automatic Grid Reconfiguration Using Workload Phase Identification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
e-Science ![In: First International Conference on e-Science and Grid Technologies (e-Science 2005), 5-8 December 2005, Melbourne, Australia, pp. 172-180, 2005, IEEE Computer Society, 0-7695-2448-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Ioana Stanoi, Christian A. Lang, Sriram Padmanabhan |
Hint and Run: Accelerating XPath Queries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAS ![In: Ninth International Database Engineering and Applications Symposium (IDEAS 2005), 25-27 July 2005, Montreal, Canada, pp. 253-262, 2005, IEEE Computer Society, 0-7695-2404-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Malik Agyemang, Ken Barker 0001, Reda Alhajj |
Mining web content outliers using structure oriented weighting techniques and N-grams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2005 ACM Symposium on Applied Computing (SAC), Santa Fe, New Mexico, USA, March 13-17, 2005, pp. 482-487, 2005, ACM, 1-58113-964-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
web mining, text categorization, n-grams, web contents, dissimilarity measure |
15 | Thomas Hädrich |
Contrary Positions About Modeling Knowledge Work. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wissensmanagement (LNCS Volume) ![In: Professional Knowledge Management, Third Biennial Conference, WM 2005, Kaiserslautern, Germany, April 10-13, 2005, Revised Selected Papers, pp. 248-258, 2005, Springer, 3-540-30465-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail |
Thermal Management of On-Chip Caches Through Power Density Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 283-293, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Mikio Aoyama |
Persona-and-Scenario Based Requirements Engineering for Software Embedded in Digital Consumer Products. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RE ![In: 13th IEEE International Conference on Requirements Engineering (RE 2005), 29 August - 2 September 2005, Paris, France, pp. 85-94, 2005, IEEE Computer Society, 0-7695-2425-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Francisco J. Villa, Manuel E. Acacio, José M. García 0001 |
Memory Subsystem Characterization in a 16-Core Snoop-Based Chip-Multiprocessor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, First International Conference, HPCC 2005, Sorrento, Italy, September 21-23, 2005, Proceedings, pp. 213-222, 2005, Springer, 3-540-29031-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Yuxing Tang, Kun Deng, HongJia Cao, Xingming Zhou |
Trace-Based Runtime Instruction Rescheduling for Architecture Extension. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICESS ![In: Embedded Software and Systems, Second International Conference, ICESS 2005, Xi'an, China, December 16-18, 2005, Proceedings, pp. 4-15, 2005, Springer, 3-540-30881-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Leonel Tedesco, Aline Mello 0001, Diego Garibotti, Ney Calazans, Fernando Moraes 0001 |
Traffic generation and performance evaluation for mesh-based NoCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2005, Florianolpolis, Brazil, September 4-7, 2005, pp. 184-189, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
performance evaluation, networks on chip, traffic modeling |
15 | Douglas E. Ennis |
The wireless tightrope: an economical, secure, and user friendly approach for the wireless campus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the 33rd Annual ACM SIGUCCS Conference on User Services 2005, Monterey, CA, USA, November 6-9, 2005, pp. 62-67, 2005, ACM, 1-59593-200-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
EAP-TLS, MSCHAPv2, PEAP, SSL certificates, SWAN, WLCCP, WEP, 802.1X |
15 | Mingyan Jiang, Dongfeng Yuan |
Blind Estimation of Fast Time-Varying Multi-antenna Channels Based on Sequential Monte Carlo Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (2) ![In: Advances in Intelligent Computing, International Conference on Intelligent Computing, ICIC 2005, Hefei, China, August 23-26, 2005, Proceedings, Part II, pp. 482-491, 2005, Springer, 3-540-28227-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Chris Loeser, Gunnar Schomaker, André Brinkmann, Mario Vodisek, Michael Heidebuer |
Content Distribution in Heterogenous Video-on-Demand P2P Networks with ARIMA Forecasts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN (2) ![In: Networking - ICN 2005, 4th International Conference on Networking, ReunionIsland, France, April 17-21, 2005, Proceedings, Part II, pp. 800-809, 2005, Springer, 3-540-25338-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Ting-Xin Yan, Yanzhong Bi, Limin Sun, Hongsong Zhu |
Probability Based Dynamic Load-Balancing Tree Algorithm for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCNMC ![In: Networking and Mobile Computing, Third International Conference, ICCNMC 2005, Zhangjiajie, China, August 2-4, 2005, Proceedings, pp. 682-691, 2005, Springer, 3-540-28102-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Godfrey Tan, John V. Guttag |
The 802.11 MAC protocol leads to inefficient equilibria. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2005. 24th Annual Joint Conference of the IEEE Computer and Communications Societies, 13-17 March 2005, Miami, FL, USA, pp. 1-11, 2005, IEEE, 0-7803-8968-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik |
Peak temperature control and leakage reduction during binding in high level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 251-256, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
switching, leakage, temperature, binding |
15 | Jungmin So, Nitin H. Vaidya |
Load Balancing Routing in Multi-Channel HybridWireless Networks with Single Network Interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSHINE ![In: Second International Conference on Quality of Service in Heterogeneous Wired/Wireless Networks (QSHINE 2005), 22-24 August 2005, Lake Buena Vista, FL, USA, pp. 14, 2005, IEEE Computer Society, 0-7695-2423-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Danyan Chen, Xiaofeng Wang, Ahmed K. Elhakeem |
Performance Analysis of UMTS Handover with the Help of WLAN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSHINE ![In: Second International Conference on Quality of Service in Heterogeneous Wired/Wireless Networks (QSHINE 2005), 22-24 August 2005, Lake Buena Vista, FL, USA, pp. 13, 2005, IEEE Computer Society, 0-7695-2423-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Yuh-Jzer Joung, Chien-Tse Fang, Li-Wei Yang |
Keyword Search in DHT-Based Peer-to-Peer Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 25th International Conference on Distributed Computing Systems (ICDCS 2005), 6-10 June 2005, Columbus, OH, USA, pp. 339-348, 2005, IEEE Computer Society, 0-7695-2331-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Yongjian Yang, Chunling Cao |
Fuzzy Spatial Location Model and Its Application in Spatial Query. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSKD (2) ![In: Fuzzy Systems and Knowledge Discovery, Second International Conference, FSKD 2005, Changsha, China, August 27-29, 2005, Proceedings, Part II, pp. 1022-1026, 2005, Springer, 3-540-28331-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Chor Ping Low, Can Fang |
On the Load-Balanced Demand Points Assignment Problem in Large-Scale Wireless LANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: Information Networking, Convergence in Broadband and Mobile Networking, International Conference, ICOIN 2005, Jeju Island, Korea, January 31- February 2, 2005, Proceedings, pp. 21-30, 2005, Springer, 3-540-24467-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Enkelejda Tafaj, Paul M. Rosinger, Bashir M. Al-Hashimi, Krishnendu Chakrabarty |
Improving Thermal-Safe Test Scheduling for Core-Based Systems-on-Chip Using Shift Frequency Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 3-5 October 2005, Monterey, CA, USA, pp. 544-551, 2005, IEEE Computer Society, 0-7695-2464-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Wei-Lun Hung, Yuan Xie 0001, Narayanan Vijaykrishnan, Charles Addo-Quaye, Theo Theocharides, Mary Jane Irwin |
Thermal-Aware Floorplanning Using Genetic Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA, pp. 634-639, 2005, IEEE Computer Society, 0-7695-2301-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Michel Côté, Philippe Hurat |
Standard Cell Printability Grading and Hot Spot Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA, pp. 264-269, 2005, IEEE Computer Society, 0-7695-2301-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Ewa Sokolowska, M. Barszcz, Bozena Kaminska |
TED Thermo Electrical Designer: A New Physical Design Verification Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 6th International Symposium on Quality of Electronic Design (ISQED 2005), 21-23 March 2005, San Jose, CA, USA, pp. 164-168, 2005, IEEE Computer Society, 0-7695-2301-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Yijun Lu, Hong Jiang 0001, Dan Feng 0001 |
FairOM: Enforcing Proportional Contributions Among Peers in Internet-Scale Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, Third International Symposium, ISPA 2005, Nanjing, China, November 2-5, 2005, Proceedings, pp. 1065-1076, 2005, Springer, 3-540-29769-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Kyriakos Stavrou, Pedro Trancoso |
TSIC: Thermal Scheduling Simulator for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Panhellenic Conference on Informatics ![In: Advances in Informatics, 10th Panhellenic Conference on Informatics, PCI 2005, Volos, Greece, November 11-13, 2005, Proceedings, pp. 589-599, 2005, Springer, 3-540-29673-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Frank Eyermann, Péter Rácz, Burkhard Stiller, Christian Schaefer, Thomas Walter 0001 |
Service-oriented Accounting Configuration Management based on Diameter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 30th Annual IEEE Conference on Local Computer Networks (LCN 2005), 15-17 November 2005, Sydney, Australia, Proceedings, pp. 621-623, 2005, IEEE Computer Society, 0-7695-2421-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik |
Temperature-aware resource allocation and binding in high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 196-201, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
switching, leakage, temperature, binding |
15 | Roger Karrer, Ashutosh Sabharwal, Edward W. Knightly |
Enabling large-scale wireless broadband: the case for TAPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Commun. Rev. ![In: Comput. Commun. Rev. 34(1), pp. 27-32, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Peter Petrov, Alex Orailoglu |
Tag compression for low power in dynamically customizable embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(7), pp. 1031-1047, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras |
Implementing branch-predictor decay using quasi-static memory cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(2), pp. 180-219, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Energy aware computing |
15 | Evsen Yanmaz, Ozan K. Tonguz |
Dynamic load balancing and sharing performance of integrated wireless networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 22(5), pp. 862-872, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Danna Voth, Benjamin Alfonsi |
In the News. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Intell. Syst. ![In: IEEE Intell. Syst. 19(4), pp. 2-5, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | James Chin, Mehrdad Nourani |
SoC Test Scheduling with Power-Time Tradeoff and Hot Spot Avoidance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 710-711, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Jean-Pierre Hubaux |
New Research Challenges for the Security of Ad Hoc and Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESAS ![In: Security in Ad-hoc and Sensor Networks, First European Workshop, ESAS 2004, Heidelberg, Germany, August 6, 2004, Revised Selected Papers, pp. 1, 2004, Springer, 3-540-24396-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Naouel Ben Salem, Jean-Pierre Hubaux, Markus Jakobsson |
Reputation-based Wi-Fi deployment protocols and security analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMASH ![In: Proceedings of the 2nd ACM International Workshop on Wireless Mobile Applications and Services on WLAN Hotspots, WMASH 2004, Philadelphia, PA, USA, October 1, 2004, pp. 29-40, 2004, ACM, 1-58113-877-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
WiFi networks, security, QoS, protocols, reputation systems, roaming, billing |
15 | Sathish Rajasekhar, Ibrahim Khalil, Zahir Tari |
A Scalable and Roboust QoS Architecture for WiFi P2P Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCIT ![In: Distributed Computing and Internet Technology, First International Conference, ICDCIT 2004, Bhubaneswar, India, December 22-24, 2004, Proceedings, pp. 65-74, 2004, Springer, 3-540-24075-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Christian Schindelhauer, Stefan Büttcher, Franz-Josef Rammig |
The design of PaMaNet the Paderborn mobile ad-hoc network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Management & Wireless Access Protocols ![In: Proceedings of the Second International Workshop on Mobility Management & Wireless Access Protocols, 2004, Philadelphia, PA, USA, October 1, 2004, pp. 119-121, 2004, ACM, 1-58113-920-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
egoistic caching, mobile ad hoc network, peer-to-peer network, XML-database |
15 | Aimin Sang, Xiaodong Wang 0001, Mohammad Madihian, Richard D. Gitlin |
Coordinated load balancing, handoff/cell-site selection, and scheduling in multi-cell packet data systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiCom ![In: Proceedings of the 10th Annual International Conference on Mobile Computing and Networking, MOBICOM 2004, 2004, Philadelphia, PA, USA, September 26 - October 1, 2004, pp. 302-314, 2004, ACM, 1-58113-868-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
cell-site selection, multi-cell, load balancing, handoff, HSDPA, opportunistic scheduling, HDR |
15 | Violeta Gambiroza, Bahareh Sadeghi, Edward W. Knightly |
End-to-end performance and fairness in multihop wireless backhaul networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiCom ![In: Proceedings of the 10th Annual International Conference on Mobile Computing and Networking, MOBICOM 2004, 2004, Philadelphia, PA, USA, September 26 - October 1, 2004, pp. 287-301, 2004, ACM, 1-58113-868-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
wireless backhaul networks, fairness, CSMA/CA, CSMA |
15 | Kristian Rother, Heiko Müller 0001, Silke Trißl, Ina Koch, Thomas Steinke 0001, Robert Preissner, Cornelius Frömmel, Ulf Leser |
Columba: Multidimensional Data Integration of Protein Annotations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DILS ![In: Data Integration in the Life Sciences, First International Workshop, DILS 2004, Leipzig, Germany, March 25-26, 2004, Proceedings, pp. 156-171, 2004, Springer, 3-540-21300-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Ada Diaconescu, Adrian Mos, John Murphy 0001 |
Automatic Performance Management in Component Based Software Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICAC ![In: 1st International Conference on Autonomic Computing (ICAC 2004), 17-19 May 2004, New York, NY, USA, pp. 214-221, 2004, IEEE Computer Society, 0-7695-2114-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Godfrey Tan, John V. Guttag |
Long-term time-share guarantees are necessary for wireless LANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS European Workshop ![In: Proceedings of the 11st ACM SIGOPS European Workshop, Leuven, Belgium, September 19-22, 2004, pp. 35, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Sinan Isik, Mehmet Yunus Donmez, Cem Ersoy |
Reliable Delivery of Popular Data Services in WIDE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIS ![In: Computer and Information Sciences - ISCIS 2004, 19th International Symposium, Kemer-Antalya, Turkey, October 27-29, 2004. Proceedings, pp. 289-298, 2004, Springer, 3-540-23526-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Devang Jariwala, John Lillis |
On interactions between routing and detailed placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 387-393, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Zoltán Fiala, Flavius Frasincar, Michael Hinz, Geert-Jan Houben, Peter Barna, Klaus Meißner |
Engineering the Presentation Layer of Adaptable Web Information Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWE ![In: Web Engineering - 4th International Conference, ICWE 2004, Munich, Germany, July 26-30, 2004, Proceedings, pp. 459-472, 2004, Springer, 3-540-22511-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Padma Apparao, Ravi R. Iyer 0001, Ricardo Morin, Naren Nayak, Mahesh Bhat, David Halliwell, William Steinberg |
Architectural Characterization of an XML-Centric Commercial Server Workload. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 33rd International Conference on Parallel Processing (ICPP 2004), 15-18 August 2004, Montreal, Quebec, Canada, pp. 292-300, 2004, IEEE Computer Society, 0-7695-2197-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Sebastià A. Bota, M. Rosales, José Luis Rosselló, Jaume Segura 0001, Ali Keshavarzi |
Within Die Thermal Gradient Impact on Clock-Skew: A New Type of Delay-Fault Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2004 International Test Conference (ITC 2004), October 26-28, 2004, Charlotte, NC, USA, pp. 1276-1284, 2004, IEEE Computer Society, 0-7803-8581-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Ralf Burda, Manfred Folgmann, Klaus Kolodziejczyk-Strunck |
Scalable One Chip Design for a Multiplex Tap with Timestamping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 29th Annual IEEE Conference on Local Computer Networks (LCN 2004), 16-18 November 2004, Tampa, FL, USA, Proceedings, pp. 776-777, 2004, IEEE Computer Society, 0-7695-2260-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar |
Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004, pp. 260-270, 2004, ACM, 1-58113-804-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
CMP, migration, SMT, heat, power density |
15 | Upkar Varshney |
The Status and Future of 802.11-Based WLANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 36(6), pp. 102-105, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Hyun Suk Kim, Wu Ye, David Duarte |
Evaluating Integrated Hardware-Software Optimizations Using a Unified Energy Estimation Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(1), pp. 59-76, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
simulation, optimizations, energy models, Energy estimation |
15 | Tat Kee Tan, Anand Raghunathan, Niraj K. Jha |
A simulation framework for energy-consumption analysis of OS-driven embedded applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(9), pp. 1284-1294, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
Displaying result #501 - #600 of 667 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ >>] |
|