The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Clocking with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1987 (16) 1988-1992 (17) 1993-1995 (35) 1996-1997 (27) 1998-1999 (36) 2000 (16) 2001 (20) 2002 (21) 2003 (33) 2004 (32) 2005 (44) 2006 (42) 2007 (42) 2008 (34) 2009 (29) 2010 (17) 2011-2012 (33) 2013-2014 (34) 2015 (22) 2016-2017 (26) 2018 (20) 2019 (16) 2020-2021 (26) 2022 (21) 2023-2024 (14)
Publication types (Num. hits)
article(213) incollection(3) inproceedings(452) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 371 occurrences of 231 keywords

Results
Found 673 publication records. Showing 673 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Zheng Xu 0003, Kenneth L. Shepard Low-Jitter Active Deskewing Through Injection-Locked Resonant Clocking. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Lin Zhang, Berkehan Ciftcioglu, Hui Wu A 1V, 1mW, 4GHz Injection-Locked Oscillator for High-Performance Clocking. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Flavio Carbognani, Simon Haene, Manuel Arrigo, Claudio Pagnamenta, Felix Bürgin, Norbert Felber, Hubert Kaeslin, Wolfgang Fichtner A 0.25 μm 0.92 mW per Mb/s Viterbi decoder featuring resonant clocking for ultra-low-power 54 Mb/s WLAN communication. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Thucydides Xanthopoulos, Atila Alvandpour Clocking. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sherif A. Tawfik, Volkan Kursun Low-Power Low-Voltage Hot-Spot Tolerant Clocking with Suppressed Skew. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ganesh Venkataraman, Jiang Hu A Placement Methodology for Robust Clocking. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Alvin Leng Sun Loke, Robert K. Barnes, Tin Tin Wee, Michael M. Oshima, Charles E. Moore, Ronald R. Kennedy, Michael J. Gilsdorf A Versatile 90-nm CMOS Charge-Pump PLL for SerDes Transmitter Clocking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Robert M. Senger, Eric D. Marsman, Michael S. McCorquodale, Richard B. Brown A 16-bit, low-power microsystem with monolithic MEMS-LC clocking. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Chunsheng Liu Testing Hierarchical Network-on-Chip Systems with Hard Cores Using Bandwidth Matching and On-Chip Variable Clocking. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Lin Zhang, Berkehan Ciftcioglu, Michael C. Huang 0001, Hui Wu Injection-Locked Clocking: A New GHz Clock Distribution Scheme. Search on Bibsonomy CICC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Martin Hansson, Behzad Mesgarzadeh, Atila Alvandpour 1.56 GHz On-chip Resonant Clocking in 130nm CMOS. Search on Bibsonomy CICC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Kuan-Ta Chen, Polly Huang, Chun-Ying Huang, Chin-Laung Lei The Impact of Network Variabilities on TCP Clocking Schemes. Search on Bibsonomy INFOCOM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Zhiyi Yu, Bevan M. Baas Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles. Search on Bibsonomy ICCD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Robert M. Senger, Eric D. Marsman, Gordy A. Carichner, Sundus Kubba, Michael S. McCorquodale, Richard B. Brown Low-latency, HDL-synthesizable dynamic clock frequency controller with self-referenced hybrid clocking. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yen-Ting Liu, Lih-Yih Chiou, Soon-Jyh Chang Energy-efficient adaptive clocking dual edge sense-amplifier flip-flop. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18David R. Rolston, David M. Gross, Gordon W. Roberts, David V. Plant A Distributed Synchronized Clocking Method. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Gokhan Memik, Masud H. Chowdhury, Arindam Mallik, Yehea I. Ismail Engineering Over-Clocking: Reliability-Performance Trade-Offs for High-Performance Register Files. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Phillip J. Restle, Kenneth L. Shepard New Prospects for Clocking Synchronous and Quasi-Asynchronous Systems. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Mototsugu Hamada, Hiroyuki Hara, Tetsuya Fujita, Chen Kong Teh, Takayoshi Shimazawa, Naoyuki Kawabe, Takeshi Kitahara, Yu Kikuchi, Tsuyoshi Nishikawa, Makoto Takahashi, Yukihito Oowaki A conditional clocking flip-flop for low power H.264/MPEG-4 audio/visual codec LSI. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Alvin Leng Sun Loke, Robert K. Barnes, Tin Tin Wee, Michael M. Oshima, Charles E. Moore, Ronald R. Kennedy, Jim O. Barnes, Robert A. Zimmer, Kari L. Arave, H. Herman M. Pang, Tom E. Cynkar, Aaron M. Volz, Jim R. Pfiester, R. J. Martin, Robert H. Miller, David A. Hood, Gordon W. Motley, Ed J. Rojas, Tom M. Walley, Michael J. Gilsdorf A versatile low-jitter PLL in 90-nm CMOS for SerDes transmitter clocking. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Jafar Savoj, Ramesh Harjani Clocking circuits for wireline communications. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Robert M. Senger, Eric D. Marsman, Michael S. McCorquodale A 16-bit low-power microcontroller with monolithic MEMS-LC clocking. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Alan J. Drake, Kevin J. Nowka, Tuyet Nguyen, Jeffrey L. Burns, Richard B. Brown Resonant clocking using distributed parasitic capacitance. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Farhad H. A. Asgari, Manoj Sachdev A low-power reduced swing global clocking methodology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Oswaldo Cadenas, Graham M. Megson A clocking technique for FPGA pipelined designs. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Michael M. Yang, James A. Barby A novel fast low voltage dynamic threshold true single phase clocking adiabatic circuit. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
18Behzad Mesgarzadeh, Christer Svensson, Atila Alvandpour A new mesochronous clocking scheme for synchronization in SoC. Search on Bibsonomy ISCAS (2) The full citation details ... 2004 DBLP  BibTeX  RDF
18Vojin G. Oklobdzija Clocking and clocked storage elements in a multi-gigahertz environment. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Takayuki Daimon, Hiroshi Sadamura, Takayuki Shindou, Haruo Kobayashi 0001, Masashi Kono, Takao Myono, Tatsuya Suzuki, Shuhei Kawai, Takashi Iijima Spread-Spectrum Clocking in Switching Regulators for EMI Reduction. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2003 DBLP  BibTeX  RDF
18Johnny Öberg Clocking Strategies for Networks-on-Chip. Search on Bibsonomy Networks on Chip The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Alan J. Drake, Kevin J. Nowka, Tuyet Nguyen, Jeffrey L. Bums, Richard B. Brown Resonant clocking using distributed parasitic capacitance. Search on Bibsonomy CICC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Vojin G. Oklobdzija, Jens Sparsø Future directions in clocking multi-ghz systems. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Thanh T. Tran, Richard Liu Gated direct sequence spread spectrum clocking scheme for multimedia systems. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Nasser A. Kurd, Javed S. Barkatullah, Rommel O. Dizon, Thomas D. Fletcher, Paul D. Madland A multigigahertz clocking scheme for the Pentium(R) 4 microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Victor V. Zyuban, David Meltzer Clocking strategies and scannable latches for low power appliacations. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Myoung-Cheol Shin, Se-Hyeon Kang, In-Cheol Park An Area-Efficient Iterative Modified-Booth Multiplier Based on Self-Timed Clocking. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Andrew T. K. Tang Bandpass spread spectrum clocking for reduced clock spurs in autozeroed amplifiers. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Christl Lauterbach, Werner Weber, Dirk Romer Charge sharing concept and new clocking scheme for power efficiency and electromagnetic emission improvement of boosted charge pumps. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Antonio J. Acosta 0001, Raúl Jiménez, Jorge Juan-Chico, Manuel J. Bellido, Manuel Valencia-Barrero Influence of Clocking Strategies on the Design of Low Switching-Noise Digital and Mixed-Signal VLSI Circuits. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  BibTeX  RDF
18Yuh-Kuang Tseng, Chung-Yu Wu A new true-single-phase-clocking BiCMOS dynamic pipelined logic family for high-speed, low-voltage pipelined system applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Kenneth Y. Yun, Ayoob E. Dooply Pausible clocking-based heterogeneous systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Sanghyeon Baeg, William A. Rogers A cost-effective design for testability: clock line control and test generation using selective clocking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Ayoob E. Dooply, Kenneth Y. Yun Optimal Clocking and Enhanced Testability for High-Performance Self-Resetting Domino Pipelines. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Self-resetting domino, time borrowing, roadblock, skew tolerance design-for-testability, scan register, multiple stuck fault
18Daniel W. Bailey, Bradley J. Benschneider Clocking design and analysis for a 600-MHz Alpha microprocessor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Nagarajan Ranganathan, Narayanan Vijaykrishnan, N. Bhavanishankar A linear array processor with dynamic frequency clocking for image processing applications. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Masayuki Mizuno, Yasushi Ooi, Naoya Hayashi, Junichi Goto, Masatoshi Hozumi, Koichiro Furuta, Atsufumi Shibayama, Yoetsu Nakazawa, Osamu Ohnishi, Shu-Yu Zhu, Yutaka Yokoyama, Yoichi Katayama, Hideto Takano, Noriyuki Miki, Yuzo Senda, Ichiro Tamitani, Masakazu Yamashina A 1.5-W single-chip MPEG-2 MP@ML video encoder with low power motion estimation and clocking. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Mitsuhisa Ohnishi, Akihisa Yamada 0001, Hiroaki Noda, Takashi Kambe A method of redundant clocking detection and power reduction at RT level design. Search on Bibsonomy ISLPED The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Gerard M. Blair Comments on "A robust single phase clocking for low power, high-speed VLSI applications" [and reply]. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Peter Nilsson 0001, Mats Torkelson A monolithic digital clock-generator for on-chip clocking of custom DSP's. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18M. Afghahi A robust single phase clocking for low power, high-speed VLSI applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18M. Afghahi Author's Reply to Comments on "A Robust Single Phase Clocking for Low Power, High-Speed VLSI Applica. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18F. Fraternali, Guido Masera, Gianluca Piccinini, Maurizio Zamboni A 650 MHz pipelined MAC for DSP applications using a new clocking strategy. Search on Bibsonomy EUSIPCO The full citation details ... 1996 DBLP  BibTeX  RDF
18Ahmed El-Amawy, Maheshwar Umasankar A Comparative Study of Synchronous Clocking Schemes for VLSI Based Systems. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18F. Fernández, A. Sánchez Design and Optimization of MuItiphase Clocking Systolic Architectures using Algebraic Retiming Techniques: Extension to Regular Graphs. Search on Bibsonomy PARCO The full citation details ... 1995 DBLP  BibTeX  RDF
18Rafael Peset Llopis Path sensitization of combinational circuits and its impact on clocking of sequential systems. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Christos A. Papachristou, Mark Spining, Mehrdad Nourani A multiple clocking scheme for low power RTL design. Search on Bibsonomy ISLPD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Hong-Yi Huang, Jinn-Shyan Wang, Yuan-Hua Chu, Tain-Shun Wu, Kuo-Hsing Cheng, Chung-Yu Wu Low-Voltage Low-Power CMOS True-Single-Phase Clocking Scheme with Locally Asynchronous Logic Circuits. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  BibTeX  RDF
18Seokjin Kim, Ramalingam Sridhar A local clocking approach for self-timed datapath designs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-timed datapath designs, local clock control circuit, synchronous datapaths, asynchronous environment, locally-clocked multiplier, asynchronous system implementation, timing, logic design, logic design, digital arithmetic, asynchronous circuits, multiplying circuits
18Sanghyeon Baeg, William A. Rogers A New Test Generation Methodology Using Selective Clocking for the Clock Line Controlled Circuits. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Shangzhi Sun, David Hung-Chang Du, Yaun-Chung Hsu, Hsi-Chuan Chen On Valid Clocking for Combinational Circuits. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Dinesh Somasekhar, V. Visvanathan A 230-MHz half-bit level pipelined multiplier using true single-phase clocking. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Dinesh Somasekhar, V. Visvanathan A 230MHz Half Bit Level Pipelined Multiplier Using True Single Phase Clocking. Search on Bibsonomy VLSI Design The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Chuan-Hua Chang, Edward S. Davidson, Karem A. Sakallah Using constraint geometry to determine maximum rate pipeline clocking. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18William K. C. Lam, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Valid clocking in wavepipelined circuits. Search on Bibsonomy ICCAD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18C. Safina, Régis Leveugle Clocking scheme selection for circuits made up of a controller and a datapath. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
18Masakiyo Miyazawa The Characterization of the Stationary Distribution of the Supplemented Self-Clocking Jump Process. Search on Bibsonomy Math. Oper. Res. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Zebo Peng Design of clocking schemes in high-level synthesis. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Marios D. Dikaiakos, Kenneth Steiglitz Comparison of tree and straight-line clocking for long systolic arrays. Search on Bibsonomy ICASSP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Karem A. Sakallah, Trevor N. Mudge, Timothy M. Burks, Edward S. Davidson Optimal Clocking of Circular Pipelines. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Ahmed El-Amawy Branch-and-Combine Clocking of Arbitrarily Large Computing Networks. Search on Bibsonomy ICPP (1) The full citation details ... 1991 DBLP  BibTeX  RDF
18Somanathan C. Menon, Karem A. Sakallah Clock Qualification Algorithm for Timing Analysis of Custom CMOS VLSI Circuits with Overlapped Clocking Disciplines and On-section Clock Derivation. Search on Bibsonomy ICSI The full citation details ... 1990 DBLP  BibTeX  RDF
18Karem A. Sakallah, Trevor N. Mudge, Kunle Olukotun check Tc and min Tc: Timing Verification and Optimal Clocking of Synchronous Digtal Circuits. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Paul R. Prucnal, Phillppe A. Perrier, Carollynn Brandmaier A low DC, self-clocking, two-color transmission code for optical communications. Search on Bibsonomy Proc. IEEE The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Paul R. Prucnal, Philippe A. Perrier A rate-transparent, self-clocking line code. Search on Bibsonomy Proc. IEEE The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18A. J. Field, M. D. Cripps Self-Clocking Networks. Search on Bibsonomy ICPP The full citation details ... 1985 DBLP  BibTeX  RDF
18Joep L. W. Kessels Two Designs of a Fault-Tolerant Clocking System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
18Grzegorz Rozenberg, Sebastiaan H. von Solms Rewriting systems with a clocking mechanism. Search on Bibsonomy Inf. Sci. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
18Se June Hong, Daniel L. Ostapko Codes for Self-Clocking, AC-Coupled Transmission: Aspects of Synthesis and Analysis. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
18Andrew Gabor Adaptive Coding for Self-Clocking Recording. Search on Bibsonomy IEEE Trans. Electron. Comput. The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
18Leonard D. Seader A Self-Clocking System for Information Transfer. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1957 DBLP  DOI  BibTeX  RDF
10Xin-Wei Shih, Yao-Wen Chang Fast timing-model independent buffered clock-tree synthesis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
10Khaled Z. Ibrahim, Smaïl Niar Power-Aware Bus Coscheduling for Periodic Realtime Applications Running on Multiprocessor SoC. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Robert Meagher, Modukuri Sushmitha, Maher E. Rizkalla, Paul Salama, Mohamed El-Sharkawy 0001 VHDL Design for Real Time Motion Estimation Video Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, Real time, Motion estimation, Hardware, Video compression
10Thomas Polzer, Thomas Handl, Andreas Steininger A Metastability-Free Multi-synchronous Communication Scheme for SoCs. Search on Bibsonomy SSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Sheng Li 0007, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, Norman P. Jouppi McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Takashi Kawamoto, Masaru Kokubo A low-jitter 1.5-GHz and large-EMI reduction 10-dBm spread-spectrum clock generator for Serial-ATA. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Mohaned Kafi, Sylvain Guilley, Sandra Marcello, David Naccache Deconvolving Protected Signals. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Muhammad Aqeel Wahlah, Kees Goossens Modeling reconfiguration in a FPGA with a hardwired network on chip. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Emre Tuncer, Jordi Cortadella, Luciano Lavagno Enabling adaptability through elastic clocks. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power design, GALS, desynchronization, adaptive voltage scaling
10Takefumi Yoshikawa, Takashi Hirata, Tsuyoshi Ebuchi, Toru Iwata, Yukio Arima, Hiroyuki Yamauchi An Over-1-Gb/s Transceiver Core for Integration Into Large System-on-Chips for Consumer Electronics. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Siddharth Garg, Diana Marculescu System-level throughput analysis for process variation aware multiple voltage-frequency island designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF manufacturing process variations, maximum cycle mean, voltage-frequency islands, performance analysis, system-level design, Globally asynchronous locally synchronous
10Chun-Lung Hsu, Yu-Sheng Huang A Fast-Deblocking Boundary-strength Based Architecture Design of Deblocking Filter in H.264/AVC Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FDBS, H.264/AVC, PSNR, bit-rate, deblocking filter
10Simon Ogg, Enrico Valli, Bashir M. Al-Hashimi, Alexandre Yakovlev, Crescenzo D'Alessandro, Luca Benini Serialized Asynchronous Links for NoC. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Abhishek Das, Sanchit Misra, Sumeet Joshi, Joseph Zambreno, Gokhan Memik, Alok N. Choudhary An Efficient FPGA Implementation of Principle Component Analysis based Network Intrusion Detection System. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Somashekar Bangalore Prakash, Pamela Abshire A fully differential CMOS capacitance sensor design, testing and array architecture. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Chih-Hung Li, Wen-Hsiao Peng, Tihao Chiang A reconfigurable video embedding transcoder based on H.264/AVC: Design tradeoffs and analysis. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Safar Hatami, Hamed Abrishami, Massoud Pedram Statistical timing analysis of flip-flops considering codependent setup and hold times. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF codependency, hold time, piecewise linear, statistical static timing analysis (SSTA), probability, process variations, setup time
10Jeff Mueller, Resve A. Saleh A Tunable Clock Buffer for Intra-die PVT Compensation in Single-Edge Clock (SEC) Distribution Networks. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Charbel J. Akl, Magdy A. Bayoumi Feedback-Switch Logic (FSL): A High-Speed Low-Power Differential Dynamic-Like Static CMOS Circuit Family. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF circuit family, low-power, high-speed
10Jongsun Kim, Ingrid Verbauwhede, M.-C. Frank Chang Design of an Interconnect Architecture and Signaling Technology for Parallelism in Communication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 673 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license