The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Multicore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2005 (26) 2006 (74) 2007 (173) 2008 (321) 2009 (464) 2010 (484) 2011 (433) 2012 (456) 2013 (365) 2014 (424) 2015 (383) 2016 (353) 2017 (341) 2018 (315) 2019 (282) 2020 (155) 2021 (196) 2022 (221) 2023 (217) 2024 (32)
Publication types (Num. hits)
article(1725) book(14) data(1) incollection(63) inproceedings(3695) phdthesis(179) proceedings(38)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1848 occurrences of 823 keywords

Results
Found 5715 publication records. Showing 5715 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Hitoshi Ueno A Performance Evaluation of Multi-programming Model on a Multicore System with Virtual Machines. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Feiyao Wang, Wenyan Wang Performance Validation of the Multicore SoC for Spacecraft Applications. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Juan Pedro Cobos Carrascosa, Beatriz Aparicio del Moral, Jose Luis Ramos Mas, Antonio C. López Jiménez, J. C. del Toro Iniesta A Multicore Architecture for High-Performance Scientific Computing Using FPGAs. Search on Bibsonomy MCSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Ricardo Nobre, Pedro Pinto 0002, Tiago Carvalho, João M. P. Cardoso, Pedro C. Diniz On Expressing Strategies for Directive-Driven Multicore Programing Models. Search on Bibsonomy PARMA-DITAM@HiPEAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Maikon Adiles Fernandez Bueno Hardware and software co-design of a process scheduler for heterogeneous multicore architectures based on reconfigurable computing (Co-projeto de hardware e software de um escalonador de processos para arquiteturas multicore heterogêneas baseadas em computação reconfigurável). Search on Bibsonomy 2013   RDF
20Gábor Imre, Gergely Mezei Parallel Graph Transformations on Multicore Systems. Search on Bibsonomy MSEPT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Lionel C. Briand Tackling the Testing and Verification of Multicore and Concurrent Software as a Search Problem. Search on Bibsonomy MSEPT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Constantin Christmann, Erik Hebisch, Anette Weisbecker Oversubscription of Computational Resources on Multicore Desktop Systems. Search on Bibsonomy MSEPT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Georg Gut, Christian Allmann, Markus Schurius, Karsten Schmidt 0003 Reduction of Electronic Control Units in Electric Vehicles Using Multicore Technology. Search on Bibsonomy MSEPT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Kunio Takaya Transputer-like Multicore Digital Signal Processing on the Array of ARM Cortex-M0 Microprocessors. Search on Bibsonomy MCSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Li Wang, Jing Liu, Jingtong Hu, Qingfeng Zhuge, Edwin Hsing-Mean Sha Optimal Assignment for Tree-Structure Task Graph on Heterogeneous Multicore Systems Considering Time Constraint. Search on Bibsonomy MCSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Karthik Ganesan 0006, Lizy K. John MAximum Multicore POwer (MAMPO): an automatic multithreaded synthetic power virus generation framework for multicore systems. Search on Bibsonomy SC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Alexander V. Shafarenko Declarative coordination in a multicore environment: the tyranny of streams. Search on Bibsonomy DAMP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Manuel M. T. Chakravarty, Gabriele Keller, Sean Lee, Trevor L. McDonell, Vinod Grover Accelerating Haskell array codes with multicore GPUs. Search on Bibsonomy DAMP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Cesar Giacomini Penteado Modular multicore processor architecture, flexible, securi and fault tolerant, to embedded cyber-physical systems (Arquitetura modular de processador multicore, flexível, segura e tolerante a falhas, para sistemas embarcados ciberfísicos). Search on Bibsonomy 2010   RDF
20Victor Pankratius, Christoph A. Schaefer, Ali Jannesari, Walter F. Tichy Software engineering for multicore systems: an experience report. Search on Bibsonomy IWMSE@ICSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ramon Bertran, Marc González 0001, Xavier Martorell, Nacho Navarro, Eduard Ayguadé Decomposable and responsive power models for multicore processors using performance counters. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power estimation, performance counters
19Michael D. Linderman, Robert V. Bruggner, Vivek Athalye, Teresa H. Meng, Narges Bani Asadi, Garry P. Nolan High-throughput Bayesian network learning using heterogeneous multicore computers. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Bayesian networks, GPU, MCMC
19Victor Pankratius, Walter F. Tichy, Peter Hinsbeeck Multicore software engineering: the next challenge in software engineering. Search on Bibsonomy ICSE (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Andreas Merkel, Jan Stoess, Frank Bellosa Resource-conscious scheduling for energy efficiency on multicore processors. Search on Bibsonomy EuroSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF activity vectors, task characterization, virtualization, CMP, migration, resources, frequency scaling, energy-aware scheduling
19David C. J. Matthews, Makarius Wenzel Efficient parallel programming in Poly/ML and Isabelle/ML. Search on Bibsonomy DAMP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel standard ml, poly/ml, theorem proving applications, data parallelism, isabelle
19Daniel Shelepov, Juan Carlos Saez, Stacey Jeffery, Alexandra Fedorova, Nestor Perez, Zhi Feng Huang, Sergey Blagodurov, Viren Kumar HASS: a scheduler for heterogeneous multicore systems. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Alex Shye, Joseph Blomstedt, Tipp Moseley, Vijay Janapa Reddi, Daniel A. Connors PLR: A Software Approach to Transient Fault Tolerance for Multicore Architectures. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Luca Benini Predictability vs. Efficiency in the Multicore Era: Fight of Titans or Happy Ever after?. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Jason Cong, Karthik Gururaj, Guoling Han Synthesis of reconfigurable high-performance multicore systems. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF coprocessor synthesis, reconfigurable high-performance computing, design space exploration
19Nalini Vasudevan, Stephen A. Edwards Celling SHIM: compiling deterministic concurrency to a heterogeneous multicore. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SHIM, parallelism, compiler, concurrency, cell processor
19Daniel Hackenberg, Daniel Molka, Wolfgang E. Nagel Comparing cache architectures and coherency protocols on x86-64 multicore SMP systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nehalem, Shanghai, benchmark, multi-core, coherency
19Sheng Li 0007, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, Norman P. Jouppi McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Nicolas G. Grounds, John K. Antonio, Jeffrey T. Muehring Cost-Minimizing Scheduling of Workflows on a Cloud of Memory Managed Multicore Machines. Search on Bibsonomy CloudCom The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Pradipta De, Vijay Mann, Umang Mittaly Handling OS jitter on multicore multithreaded systems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Seunghwa Kang, David A. Bader, Richard W. Vuduc Understanding the design trade-offs among current multicore systems for numerical computations. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Liu Peng, Richard Seymour, Ken-ichi Nomura, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta, Alexander Loddoch, Michael Netzband, William R. Volz, Chap C. Wong High-order stencil computations on multicore clusters. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Rangyu Deng, Weixia Xu, Qiang Dou, Hongwei Zhou, Zefu Dai, Haiyan Chen An efficient stream memory architecture for heterogeneous multicore processor. Search on Bibsonomy ISCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Damien Imbs, Michel Raynal Software Transactional Memories: An Approach for Multicore Programming. Search on Bibsonomy PaCT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Consistency condition, Transaction, Concurrent programming, Lock, Serializability, Software transactional memory, Shared object, Linearizability, Opacity, Logical clock, Consistent global state
19Carl G. Ritson, Adam T. Sampson, Fred R. M. Barnes Multicore Scheduling for Lightweight Communicating Processes. Search on Bibsonomy COORDINATION The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Frank Otto, Victor Pankratius, Walter F. Tichy High-level multicore programming with XJava. Search on Bibsonomy ICSE Companion The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Fengguang Song, Asim YarKhan, Jack J. Dongarra Dynamic task scheduling for linear algebra algorithms on distributed-memory multicore systems. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Michal Cernanský Training Recurrent Neural Network Using Multistream Extended Kalman Filter on Multicore Processor and Cuda Enabled Graphic Processor Unit. Search on Bibsonomy ICANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Arnaldo Azevedo, Cor Meenderinck, Ben H. H. Juurlink, Andrei Sergeevich Terechko, Jan Hoogerbrugge, Mauricio Alvarez 0001, Alex Ramírez Parallel H.264 Decoding on an Embedded Multicore Processor. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Hui Zeng, Matt T. Yourst, Kanad Ghose, Dmitry V. Ponomarev MPTLsim: a simulator for X86 multicore processors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, microprocessor, coherent cache
19Soner Yaldiz, Alper Demir 0001, Serdar Tasiran Stochastic Modeling and Optimization for Energy Management in Multicore Systems: A Video Decoding Case Study. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Antonio M. Vidal, Murilo Boratto, Pedro Alonso 0002 A Threaded Divide and Conquer Symmetric Tridiagonal Eigensolver on Multicore Systems. Search on Bibsonomy ISPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19John M. Calandrino, James H. Anderson Cache-Aware Real-Time Scheduling on Multicore Platforms: Heuristics and a Case Study. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19François Broquedis, François Diakhaté, Samuel Thibault, Olivier Aumage, Raymond Namyst, Pierre-André Wacrenier Scheduling Dynamic OpenMP Applications over Multicore Architectures. Search on Bibsonomy IWOMP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hierarchical Thread Scheduling, Multi-Core, OpenMP, SMP, NUMA, Bubbles, Nested Parallelism
19Pierre Guironnet de Massas, Frédéric Pétrot Comparison of memory write policies for NoC based Multicore Cache Coherent Systems. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Zhen Liu 0018, Jia Yu 0008, Xiaojun Wang 0001, Bin Liu 0001, Laxmi N. Bhuyan Revisiting the Cache Effect on Multicore Multithreaded Network Processors. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Venkatraman Govindaraju, Peter Djeu, Karthikeyan Sankaralingam, Mary K. Vernon, William R. Mark Toward a multicore architecture for real-time ray-tracing. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Mark D. Hill Amdahl's Law in the multicore era. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Eugene Gorbatov, Howard David, Zhao Zhang 0010 Software thermal management of dram memory for multicore systems. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thermal management, DRAM memories
19Uday Bondhugula, Muthu Manikandan Baskaran, Albert Hartono, Sriram Krishnamoorthy, J. Ramanujam, Atanas Rountev, P. Sadayappan Towards effective automatic parallelization for multicore systems. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19William Lundgren Gedae's automated management of hierarchical memories on multicore processors Commercial Tutorial. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19J. P. Grossman, Cliff Young, Joseph A. Bank, Kenneth M. Mackenzie, Doug Ierardi, John K. Salmon, Ron O. Dror, David E. Shaw Simulation and embedded software development for Anton, a parallel machine with heterogeneous multicore ASICs. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Anton, simulation, embedded software, special-purpose hardware
19Priyanka Tembey, Anish Bhatt, Dulloor Rao, Ada Gavrilovska, Karsten Schwan Flexible Classification on Heterogenous Multicore Appliance Platforms. Search on Bibsonomy ICCCN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Kyriakos Stavrou, Marios Nikolaides, Demos Pavlou, Samer Arandi, Paraskevas Evripidou, Pedro Trancoso TFlux: A Portable Platform for Data-Driven Multithreading on Commodity Multicore Systems. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Xiaoye Sherry Li Evaluation of Sparse LU Factorization and Triangular Solution on Multicore Platforms. Search on Bibsonomy VECPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Philip M. Wells, Koushik Chakraborty, Gurindar S. Sohi Adapting to intermittent faults in multicore systems. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF overcommitted system, intermittent faults
19Alberto González Téllez, Miguel A. Mateo Pla Multithreaded Translation of Ptolemy II Designs on Multicore Platforms. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Svetislav Momcilovic, Leonel Sousa A Parallel Algorithm for Advanced Video Motion Estimation on Multicore Architectures. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Peter Bertels, Wim Heirman, Dirk Stroobandt Efficient measurement of data flow enabling communication-aware parallelisation. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF profiling, data-flow
19Philip M. Wells, Koushik Chakraborty, Gurindar S. Sohi Adapting to Intermittent Faults in Future Multicore Systems. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Xiaohong Qiu, Geoffrey C. Fox, Huapeng Yuan, Seung-Hee Bae, George Chrysanthakopoulos, Henrik Frystyk Nielsen High Performance Multi-paradigm Messaging Runtime Integrating Grids and Multicore Systems. Search on Bibsonomy eScience The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Michael L. Chu, Rajiv A. Ravindran, Scott A. Mahlke Data Access Partitioning for Fine-grain Parallelism on Multicore Architectures. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Eric Fontaine, Hsien-Hsin S. Lee Optimizing Katsevich image reconstruction algorithm on multicore processors. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19John M. Calandrino, Dan P. Baumberger, Tong Li 0003, Scott Hahn, James H. Anderson Soft Real-Time Scheduling on Performance Asymmetric Multicore Platforms. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Alfredo Buttari, Julien Langou, Jakub Kurzak, Jack J. Dongarra Parallel Tiled QR Factorization for Multicore Architectures. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Maurice Herlihy The Multicore Revolution. Search on Bibsonomy FSTTCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Manuel M. T. Chakravarty, Roman Leshchinskiy, Simon L. Peyton Jones, Gabriele Keller, Simon Marlow Data parallel Haskell: a status report. Search on Bibsonomy DAMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Arndt Bode Multicore-Architekturen. Search on Bibsonomy Inform. Spektrum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Toshinori Sato, Yuu Tanaka, Hidenori Sato, Toshimasa Funaki, Takenori Koushiro, Akihiro Chiyonobu Improving Energy Efficiency Via Speculative Multithreading on MultiCore Processors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Claude Lacoursière A Parallel Block Iterative Method for Interactive Contacting Rigid Multibody Simulations on Multicore PCs. Search on Bibsonomy PARA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jared C. Smolens, Brian T. Gold, Babak Falsafi, James C. Hoe Reunion: Complexity-Effective Multicore Redundancy. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Lei Jin 0002, Hyunjin Lee, Sangyeun Cho A flexible data to L2 cache mapping approach for future multicore processors. Search on Bibsonomy Memory System Performance and Correctness The full citation details ... 2006 DBLP  DOI  BibTeX  RDF non-uniform cache architecture (NUCA), page allocation
19James H. Anderson, John M. Calandrino Parallel Real-Time Task Scheduling on Multicore Platforms. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Xiaofang Chen, Yu Yang 0013, Ganesh Gopalakrishnan, Ching-Tsun Chou Reducing Verification Complexity of a Multicore Coherence Protocol Using Assume/Guarantee. Search on Bibsonomy FMCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Tien-Fu Chen, Chia-Ming Hsu, S.-R. Wu Flexible Heterogeneous Multicore Architectures for Versatile Media Processing Via Customized Long Instruction Words. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Thomas Hubbard, Raimondas Lencevicius, Edu Metz, Gopal Raghavan Performance Validation on Multicore Mobile Devices. Search on Bibsonomy VSTTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Michael Benedikt, Daniela Florescu, Philippa Gardner, Giovanna Guerrini, Marco Mesiti, Emmanuel Waller Report on the EDBT/ICDT 2010 workshop on updates in XML. Search on Bibsonomy SIGMOD Rec. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Diana Bautista, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Thanos Makatos, Yannis Klonatos, Manolis Marazakis, Michail D. Flouris, Angelos Bilas Using transparent compression to improve SSD-based I/O caches. Search on Bibsonomy EuroSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF online block-level compression, solid state disk caches, evaluation, I/O performance
17Steven A. Hofmeyr, Costin Iancu, Filip Blagojevic Load balancing on speed. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF load balancing, operating systems, parallel applications
17Lixia Liu, Zhiyuan Li 0001 Improving parallelism and locality with asynchronous algorithms. Search on Bibsonomy PPoPP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel numerical programs, data locality, memory performance, loop tiling, asynchronous algorithms
17Xiaochen Guo, Engin Ipek, Tolga Soyata Resistive computation: avoiding the power wall with low-leakage, STT-MRAM based computing. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF STT-MRAM, power-efficiency
17Arun Raman, Hanjun Kim 0001, Thomas R. Mason, Thomas B. Jablin, David I. August Speculative parallelization using software multi-threaded transactions. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-threaded transactions, automatic parallelization, software transactional memory, thread-level speculation, loop-level parallelism, pipelined parallelism
17Surendra Byna, Jiayuan Meng, Anand Raghunathan, Srimat T. Chakradhar, Srihari Cadambi Best-effort semantic document search on GPUs. Search on Bibsonomy GPGPU The full citation details ... 2010 DBLP  DOI  BibTeX  RDF best-effort computing, dependency relaxation, supervised semantic indexing, GPGPU, CUDA, document search
17Satoshi Amamiya, Makoto Amamiya, Ryuzo Hasegawa, Hiroshi Fujita 0002 A continuation-based noninterruptible multithreading processor architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Parallel processing, Multithreading, Processor architecture, Thread level parallelism, Multithreaded programming
17Gary Grider, James Nunez, John Bent, Steve Poole 0001, Robert B. Ross, Evan Felix Coordinating government funding of file system and I/O research through the high end computing university research activity. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Abhik Sarkar, Frank Mueller 0001, Harini Ramaprasad, Sibin Mohan Push-assisted migration of real-time tasks in multi-core processors. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF task migration., real-time systems, timing analysis, multi-core architectures
17Abhishek Udupa, R. Govindarajan, Matthew J. Thazhuthaveetil Synergistic execution of stream programs on multicores with accelerators. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CUDAa, partitioning, software pipelining, stream programming, GPU programming
17Eduard Ayguadé, Rosa M. Badia, Daniel Cabrera, Alejandro Duran, Marc González 0001, Francisco D. Igual, Daniel Jiménez-González, Jesús Labarta, Xavier Martorell, Rafael Mayo 0002, Josep M. Pérez, Enrique S. Quintana-Ortí A Proposal to Extend the OpenMP Tasking Model for Heterogeneous Architectures. Search on Bibsonomy IWOMP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Sangmin Seo, Jaejin Lee, Zehra Sura Design and implementation of software-managed caches for multicores with local memory. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Samar Abdi, Gunar Schirner, Ines Viskic, Hansu Cho, Yonghyun Hwang, Lochi Yu, Daniel Gajski Hardware-dependent software synthesis for many-core embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Vivek Sarkar Challenges in Code Optimization of Parallel Programs. Search on Bibsonomy CC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Hikmet Dursun, Ken-ichi Nomura, Liu Peng, Richard Seymour, Weiqiang Wang, Rajiv K. Kalia, Aiichiro Nakano, Priya Vashishta A Multilevel Parallelization Framework for High-Order Stencil Computations. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF single instruction multiple data parallelism, spatial decomposition, message passing, multithreading, Stencil computation
17Micah J. Best, Alexandra Fedorova, Ryan Dickie, Andrea Tagliasacchi, Alex Couture-Beil, Craig Mustard, Shane Mottishaw, Aron Brown, Zhi Feng Huang, Xiaoyuan Xu, Nasser Ghazali, Andrew Brownsword Searching for Concurrent Design Patterns in Video Games. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yi Guo, Rajkishore Barik, Raghavan Raman, Vivek Sarkar Work-first and help-first scheduling policies for async-finish task parallelism. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17François Trahay, Elisabeth Brunet, Alexandre Denis 0001 An analysis of the impact of multi-threading on communication performance. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Konstantinos I. Karantasis, Eleftherios D. Polychronopoulos Is shared memory programming attainable on clusters of embedded processors? Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Mojtaba Mehrara, Jeff Hao, Po-Chun Hsu, Scott A. Mahlke Parallelizing sequential applications on commodity hardware using a low-cost software transactional memory. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF automatic parallelization, software transactional memory, thread-level speculation, profile-guided optimization, loop level parallelism
17Gerd Pfeiffer, Stefan Baumgart, Jan Schröder, Manfred Schimmler A Massively Parallel Architecture for Bioinformatics. Search on Bibsonomy ICCS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Gabriel Falcão Paiva Fernandes, Leonel Sousa, Vítor Manuel Mendes da Silva, José Marinho Parallel LDPC Decoding on the Cell/B.E. Processor. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 5715 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license