The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI-SoC"( http://dblp.L3S.de/Venues/VLSI-SoC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/ifip10-5

Publication years (Num. hits)
2001 (39) 2002-2003 (80) 2005 (21) 2006 (76) 2007 (62) 2009-2010 (85) 2011 (84) 2012 (61) 2013 (83) 2014 (45) 2015 (65) 2016 (50) 2017 (48) 2018 (50) 2019 (65) 2020 (42) 2021 (45) 2022 (91) 2023 (52)
Publication types (Num. hits)
inproceedings(1124) proceedings(20)
Venues (Conferences, Journals, ...)
VLSI-SoC(1144)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1144 publication records. Showing 1144 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Valerio Tenace, Andrea Calimera, Enrico Macii, Massimo Poncino Multi-function logic synthesis of silicon and beyond-silicon ultra-low power pass-gates circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wolfgang Ecker, Johannes Schreiner Introducing Model-of-Things (MoT) and Model-of-Design (MoD) for simpler and more efficient hardware generators. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yi Zhao 0001, S. Saqib Khursheed, Bashir M. Al-Hashimi, Zhiwen Zhao Co-optimization of fault tolerance, wirelength and temperature mitigation in TSV-based 3D ICs. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1 2016 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2016, Tallinn, Estonia, September 26-28, 2016 Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  BibTeX  RDF
1Sukarn Agarwal, Hemangee K. Kapoor Restricting writes for energy-efficient hybrid cache in multi-core architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Niklas Krafczyk, Heinz Riener, Görschwin Fey WCET overapproximation for software in the context of a Cyber-Physical System. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, Thomas Kruse, Cristiano Novello Speeding up safety verification by fault abstraction and simulation to transaction level. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Valentino Peluso, Andrea Calimera, Enrico Macii, Massimo Alioto Ultra-Fine Grain Vdd-Hopping for energy-efficient Multi-Processor SoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Guillaume Plassan, Hans-Jörg Peter, Katell Morin-Allory, Fahim Rahim, Shaker Sarwary, Dominique Borrione Conclusively verifying clock-domain crossings in very large hardware designs. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Takashi Nakada, Tomoki Hatanaka, Hiroshi Nakamura, Hiroshi Ueki, Masanori Hayashikoshi, Toru Shimizu An adaptive energy-efficient task scheduling under execution time variation based on statistical analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mini Jayakrishnan, Alan Chang, Tae-Hyoung Kim Power and area efficient clock stretching and critical path reshaping for error resilience. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lei Wu, Ching-Chuen Jong A VLSI architecture for real-time gradient guided image filtering. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Seyedeh Hanieh Hashemi, Reza Namazian, Zainalabedin Navabi Optimistic clock adjustment for preventing Better-than-worst-case violations. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Marcello Traiola, Mario Barbareschi, Antonino Mazzeo, Alberto Bosio XbarGen: A memristor based boolean logic synthesis tool. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Peer Adelt, Bastian Koppelmann, Wolfgang Müller 0003, Markus Becker 0001, Bernd Kleinjohann, Christoph Scheytt Fast dynamic fault injection for virtual microcontroller platforms. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alexander W. Rath, Sebastian Simon, Volkan Esen, Wolfgang Ecker Automatically comparing analog behavior using Earth Mover's Distance. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Boyang Du, Luca Sterpone An FPGA-based testing platform for the validation of automotive powertrain ECU. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shounak Chakraborty 0001, Hemangee K. Kapoor Static energy reduction by performance linked dynamic cache resizing. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Seyed Saber Nabavi Larimi, Mehdi Kamal, Ali Afzali-Kusha, Hamid Mahmoodi Power and energy reduction of racetrack-based caches by exploiting shared shift operations. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Debjyoti Bhattacharjee, Farhad Merchant, Anupam Chattopadhyay Enabling in-memory computation of binary BLAS using ReRAM crossbar arrays. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Van-Phuc Hoang, Thi-Thanh-Dung Phan, Van-Lan Dao, Cong-Kha Pham A compact, ultra-low power AES-CCM IP core for wireless body area networks. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Motoki Amagasaki, Yuji Nakamura, Takuya Teraoka, Masahiro Iida, Toshinori Sueyoshi A novel soft error tolerant FPGA architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alejandro Nocua, Arnaud Virazel, Alberto Bosio, Patrick Girard 0001, Cyril Chevalier A Hybrid Power Estimation Technique to improve IP power models quality. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Elad Amrani, Avishay Drori, Shahar Kvatinsky Logic design with unipolar memristors. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Luca Piccolboni, Graziano Pravadelli Stimuli generation through invariant mining for black-box verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mengying Zhao, Keni Qiu, Yuan Xie 0001, Jingtong Hu, Chun Jason Xue Redesigning software and systems for non-volatile processors on self-powered devices. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Abhiram Reddy Gundla, Tom Chen 0001 An efficient multi channel, 425µW QPSK transmitter with tuning for process variation in the Medical Implantable Communications Service (MICS) band of 402-405MHz. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Anna Bernasconi 0001, Valentina Ciriani, Luca Frontini, Gabriella Trucco Synthesis on switching lattices of Dimension-reducible Boolean functions. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Erol Koser, Sebastian Krosche, Walter Stechele Integrated Soft Error Resilience and Self-Test. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yanzhe Li, Kai Huang 0002, Luc Claesen SoC oriented real-time high-quality stereo vision system. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Maede Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram Hybrid TFET-MOSFET circuits: An approach to design reliable ultra-low power circuits in the presence of process variation. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Minghao Lin, Heming Sun, Shinji Kimura Power-efficient and slew-aware three dimensional gated clock tree synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Moritoshi Yasunaga, Naoki Yokoshima, Ikuo Yoshihara A passive equalizer and its design methodology for global interconnects in VLSIs. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yuan He 0002, Masaaki Kondo Opportunistic circuit-switching for energy efficient on-chip networks. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Paolo Bernardi, Alberto Bosio, Giorgio Di Natale, Andrea Guerriero, Federico Venini Faster-than-at-speed execution of functional programs: An experimental analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Syed Mohsin Abbas, Chi-Ying Tsui Low-latency approximate matrix inversion for high-throughput linear pre-coders in massive MIMO. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jotham Vaddaboina Manoranjan, Solomon Surya Tej Mano Sajjan, Vivek B. Gujari, Kenneth S. Stevens Design of a multi-style and multi-frequency FPGA. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mahshid Nasserian, Ali Peiravi, Farshad Moradi A 1.62 µW 8-channel ultra-high input impedance EEG amplifier for dry and non-contact biopotential recording applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad Tohidi, Jens Kargaard Madsen, Martijn J. R. Heck, Farshad Moradi A low-power analog front-end neural acquisition design for seizure detection. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yukai Chen, Enrico Macii, Massimo Poncino Frequency domain characterization of batteries for the design of energy storage subsystems. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xueqing Li, Kaisheng Ma, Sumitha George, John Sampson, Vijaykrishnan Narayanan Enabling Internet-of-Things: Opportunities brought by emerging devices, circuits, and architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sabyasachee Banerjee, Subhashis Majumder, Bhargab B. Bhattacharya Power-aware test optimization for core-based 3D-SOCs under TSV-constraints. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Alessandro Bernardini, Wolfgang Ecker, Ulf Schlichtmann Efficient handling of the fault space in functional safety analysis utilizing formal methods. Search on Bibsonomy VLSI-SoC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Asim Khan, Muhammad Umar Karim Khan, Muhammad Bilal 0001, Chong-Min Kyung Hardware architecture and optimization of sliding window based pedestrian detection on FPGA for high resolution images by varying local features. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Qiong Wei Low, Liter Siek, Mi Zhou A high efficiency rectifier for inductively power transfer application. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jaehyun Park 0005, Donghwa Shin, Hyung Gyu Lee Design space exploration of row buffer architecture for phase change memory with LPDDR2-NVM interface. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seongbo Shim, Youngsoo Shin Physical design and mask optimization for directed self-assembly lithography (DSAL). Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Kerem Seyid, Sebastien Blanc, Yusuf Leblebici Hardware implementation of real-time multiple frame super-resolution. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hamed Abbasizadeh, Behnam Samadpoor Rikan, Kang-Yoon Lee A fully on-chip 25MHz PVT-compensation CMOS Relaxation Oscillator. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Matthew M. Kim, Karl M. Fant, Paul Beckett Design of asynchronous RISC CPU register-file Write-Back queue. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Bartosz Boguslawski, Hossam Sarhan, Frédéric Heitzmann, Fabrice Seguin, Sébastien Thuries, Olivier Billoint, Fabien Clermidy Compact interconnect approach for networks of neural cliques using 3D technology. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Seongmo Park, Kyungjin Byun, Nak-Woong Eum A hybrid embedded compression codec engine for ultra HD video application. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Guilherme Flach, Jucemar Monteiro, Mateus Fogaça, Julia Casarin Puget, Paulo F. Butzen, Marcelo O. Johann, Ricardo Augusto da Luz Reis An Incremental Timing-Driven flow using quadratic formulation for detailed placement. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jia Wei Tang, Yuan Wen Hau, Muhammad N. Marsono Hardware/software partitioning of embedded System-on-Chip applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alessandro Danese, Francesca Filini, Graziano Pravadelli A time-window based approach for dynamic assertions mining on control signals. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sudipta Paul 0001, Pritha Banerjee 0001, Susmita Sur-Kolay Flare reduction in EUV Lithography by perturbation of wire segments. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Artur Quiring, Markus Olbrich, Erich Barke Fast global interconnnect driven 3D floorplanning. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 2015 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015 Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  BibTeX  RDF
1Michael Gautschi, Andreas Traber, Antonio Pullini, Luca Benini, Michele Scandale, Alessandro Di Federico, Michele Beretta, Giovanni Agosta Tailoring instruction-set extensions for an ultra-low power tightly-coupled cluster of OpenRISC cores. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ying-Jung Chen, Shanq-Jang Ruan A cluster-based reliability- and thermal-aware 3D floorplanning using redundant STSVs. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jae-Jin Lee, Chan Kim, Kyungjin Byun, Nak-Woong Eum Virtual prototype based on Aldebarn CPU core. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuanwen Huang, Anupam Chattopadhyay, Prabhat Mishra 0001 Trace Buffer Attack: Security versus observability study in post-silicon debug. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zoltán Endre Rákossy, Dominik Stengele, Gerd Ascheid, Rainer Leupers, Anupam Chattopadhyay Exploiting scalable CGRA mapping of LU for energy efficiency using the Layers architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Manikantan Srinivasan, C. Siva Ram Murthy, Anusuya Balasubramanian Modular performance analysis of Multicore SoC-based small cell LTE base station. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chun-Jen Tsai, Tsung-Han Wu, Hung-Cheng Su JAIP-MP: A four-core Java application processor. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Lilian Bossuet, Viktor Fischer, Pierre Bayon Contactless transmission of intellectual property data to protect FPGA designs. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Pierre Nicolas-Nicolaz, Kiyoung Choi Dynamic error tracking and supply voltage adjustment for low power. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Naehyuck Chang, Kiyoung Choi Message from the general chairs. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Amir Masoud Gharehbaghi, Masahiro Fujita Efficient signature-based sub-circuit matching. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Yuan Xue, Patrick Cronin, Chengmo Yang, Jingtong Hu Non-volatile memories in FPGAs: Exploiting logic similarity to accelerate reconfiguration and increase programming cycles. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Hyunsun Park, Junwhan Ahn, Eunhyeok Park, Sungjoo Yoo Locality-aware vertex scheduling for GPU-based graph computation. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Surajit Kumar Roy, Supriyo Mandal, Chandan Giri, Hafizur Rahaman 0001 A thermal estimation model for 3D IC using liquid cooled microchannels and thermal TSVs. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andres F. Gomez, Víctor H. Champac A new sizing approach for lifetime improvement of nanoscale digital circuits due to BTI aging. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Dominik Macko, Katarína Jelemenská, Pavel Cicák Power-management high-level synthesis. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chadi Al Khatib, Claire Aupetit, Cyril Chevalier, Chouki Aktouf, Gilles Sicard, Laurent Fesquet A generic clock controller for low power systems: Experimentation on an AXI bus. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shridhar Choudhary, Amir Masoud Gharehbaghi, Takeshi Matsumoto, Masahiro Fujita Trace signal selection methods for post silicon debugging. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Anvesha Amaravati, Manan Chugh, Arijit Raychowdhury A time interleaved DAC sharing SAR Pipeline ADC for ultra-low power camera front ends. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Chengmo Yang, Maria Ruiz Varela Qualifying non-volatile register files for embedded systems through compiler-directed write minimization and balancing. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Shahzad Muzaffar, Ibrahim M. Elfadel Timing and robustness analysis of Pulsed-Index protocols for single-channel IoT communications. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Zheng Li, Chenchen Liu, Yandan Wang, Bonan Yan, Chaofei Yang, Jianlei Yang 0001, Hai Li 0001 An overview on memristor crossabr based neuromorphic circuit and architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Mini Jayakrishnan, Alan Chang, José Pineda de Gyvez, Tae-Hyoung Kim Slack-aware timing margin redistribution technique utilizing error avoidance flip-flops and time borrowing. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Raimund Ubar, Lembit Jurimagi, Elmet Orasson, Jaan Raik Scalable algorithm for structural fault collapsing in digital circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Nabila Abdessaied, Mathias Soeken, Gerhard W. Dueck, Rolf Drechsler Reversible circuit rewriting with simulated annealing. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jing-Jia Liou, Meng-Ta Hsieh, Jun-Fei Cherng, Harry H. Chen Cost reduction of system-level tests with stressed structural tests and SVM. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Alberto Bocca, Alessandro Sassone, Donghwa Shin, Alberto Macii, Enrico Macii, Massimo Poncino An equation-based battery cycle life model for various battery chemistries. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jae-sun Seo, Mingoo Seok Digital CMOS neuromorphic processor design featuring unsupervised online learning. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Manish Kumar Jaiswal, Hayden Kwok-Hay So Dual-mode double precision / two-parallel single precision floating point multiplier architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Manikandan Pandiyan, Geetha Mani, Jovitha Jerome, Natarajan S. Integrating wearable low power CMOS ECG acquisition SoC with decision making system for WSBN applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Motoki Amagasaki, Yuto Takeuchi, Qian Zhao 0001, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi Architecture exploration of 3D FPGA to minimize internal layer connection. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Tara Ghasempouri, Graziano Pravadelli On the estimation of assertion interestingness. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Manikandan Pandiyan, Geetha Mani Embedded low power analog CMOS Fuzzy Logic Controller chip for industrial applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jun Guo, Peng Liu 0016, Weidong Wang Physical-based modeling and fast simulation of wireline links. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Samah Mohamed Saeed, Bodhisatwa Mazumdar, Sk Subidh Ali, Ozgur Sinanoglu Timing attack on NEMS relay based design of AES. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Gain Kim, Raffaele Capoccia, Yusuf Leblebici Design optimization of polyphase digital down converters for extremely high frequency wireless communications. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Ananthanarayanan Parthasarathy Design and analysis of search algorithms for lower power consumption and faster convergence of DAC input of SAR-ADC in 65nm CMOS. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Víctor H. Champac, Alejandra Nicte-ha Reyes, Andres F. Gomez Circuit performance optimization for local intra-die process variations using a gate selection metric. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jaemin Lee, Seungwon Kim, Youngmin Kim, Seokhyeong Kang An optimal operating point by using error monitoring circuits with an error-resilient technique. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xabier Iturbe, Didier Keymeulen, Emre Ozer 0001, Patrick Yiu, Daniel Berisford, Kevin P. Hand, Robert Carlson An integrated SoC for science data processing in next-generation space flight instruments avionics. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1John Jose, Joe Augustine, Sijin Sebastian Dynamic migratory selection strategy for adaptive routing in mesh NoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Jaehyun Park 0005, Donghwa Shin, Hyung Gyu Lee Prefetch-based dynamic row buffer management for LPDDR2-NVM devices. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1144 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license