The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase flip-flops (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1976 (18) 1977-1988 (16) 1989-1993 (17) 1994-1995 (49) 1996 (24) 1997 (21) 1998 (42) 1999 (28) 2000 (24) 2001 (25) 2002 (24) 2003 (35) 2004 (38) 2005 (44) 2006 (44) 2007 (53) 2008 (58) 2009 (27) 2010 (25) 2011 (23) 2012 (19) 2013 (21) 2014 (16) 2015 (20) 2016-2017 (31) 2018-2019 (28) 2020-2021 (26) 2022-2023 (20) 2024 (7)
Publication types (Num. hits)
article(279) data(1) inproceedings(542) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 825 occurrences of 369 keywords

Results
Found 823 publication records. Showing 823 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Lin-rong Xiao, Xie-xiong Chen, Shi-yan Ying Design of dual-edge triggered flip-flops based on quantum-dot cellular automata. Search on Bibsonomy J. Zhejiang Univ. Sci. C The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Faiz-ul Hassan, Wim Vanderbauwhede, Fernando Rodríguez Salazar Impact of Random Dopant Fluctuations on the Timing Characteristics of Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Elio Consoli, Gaetano Palumbo, Melita Pennisi Reconsidering High-Speed Design Criteria for Transmission-Gate-Based Master-Slave Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Joon-Sung Yang, Nur A. Touba, Benoit Nadeau-Dostie Test Point Insertion with Control Points Driven by Existing Functional Flip-Flops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Yuji Kunitake, Toshinori Sato, Hiroto Yasuura, Takanori Hayashida A Selective Replacement Method for Timing-Error-Predicting flip-Flops. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Xiayu Li, Song Jia, Limin Liu, Yuan Wang 0001, Ganggang Zhang Design of novel, semi-transparent flip-flops (STFF) for high speed and low power application. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Geoffrey L. Herman, Craig B. Zilles, Michael C. Loui Flip-Flops in Students' Conceptions of State. Search on Bibsonomy IEEE Trans. Educ. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Marco Lanuzza, Raffaele De Rose, Fabio Frustaci, Stefania Perri, Pasquale Corsonello Comparative analysis of yield optimized pulsed flip-flops. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Abdoul Rjoub, Muna M. Al-Durrah The performance and behaviour of dual edge triggered flip-flops in nanotechnology. Search on Bibsonomy Int. J. Comput. Aided Eng. Technol. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Wei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shawn S. H. Hsu, Yarsun Hsu A Novel Low Gate-Count Pipeline Topology With Multiplexer-Flip-Flops for Serial Link. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30David Rennie, David Li, Manoj Sachdev, Bharat L. Bhuva, Srikanth Jagannathan, Shi-Jie Wen, Richard Wong Performance, Metastability, and Soft-Error Robustness Trade-offs for Flip-Flops in 40 nm CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Chih-Cheng Hsu, Yao-Tsung Chang, Mark Po-Hung Lin Crosstalk-aware power optimization with multi-bit flip-flops. Search on Bibsonomy ASP-DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Yoshihiro Ohkawa, Yukiya Miura Dual Edge Triggered Flip-Flops for Noise Blocking and Application to Signal Delay Detection. Search on Bibsonomy Asian Test Symposium The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Zhi-Wei Chen, Jin-Tai Yan Utilization of multi-bit flip-flops for clock power reduction. Search on Bibsonomy ICECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Yiqun Wang, Yongpan Liu, Shuangchen Li, Daming Zhang, Bo Zhao 0003, Mei-Fang Chiang, Yanxin Yan, Baiko Sai, Huazhong Yang A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops. Search on Bibsonomy ESSCIRC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Santhosh Onkaraiah, Marina Reyboz, Fabien Clermidy, Jean-Michel Portal, Marc Bocquet, Christophe Muller, Hraziia, Costin Anghel, Amara Amara Bipolar ReRAM Based non-volatile flip-flops for low-power architectures. Search on Bibsonomy NEWCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Steve J. Dillen, Donald A. Priore, Aaron Horiuchi, Samuel Naffziger Design and implementation of soft-edge flip-flops for x86-64 AMD microprocessor modules. Search on Bibsonomy CICC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Wei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shawn S. H. Hsu, Yarsun Hsu, Ying-Fang Tsao A novel low gate-count serializer topology with Multiplexer-Flip-Flops. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Tamer Ragheb, Andrew Marshall Calibration of propagation delay of flip-flops. Search on Bibsonomy SoCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo Analysis and Comparison in the Energy-Delay-Area Domain of Nanometer CMOS Flip-Flops: Part I - Methodology and Design Strategies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo Analysis and Comparison in the Energy-Delay-Area Domain of Nanometer CMOS Flip-Flops: Part II - Results and Figures of Merit. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Myint Wai Phyu, Kangkang Fu, Wang Ling Goh, Kiat Seng Yeo Power-Efficient Explicit-Pulsed Dual-Edge Triggered Sense-Amplifier Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Changnoh Yoon, Youngmin Cho, Jinsang Kim DFV-Aware Flip-Flops Using C-Elements. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Weiqiang Zhang, Li Su, Yu Zhang, Linfeng Li, Jianping Hu Low-Leakage Flip-Flops Based on Dual-Threshold and Multiple Leakage Reduction Techniques. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Mark Po-Hung Lin, Chih-Cheng Hsu, Yao-Tsung Chang Post-Placement Power Optimization With Multi-Bit Flip-Flops. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Dangui Yan, Ruijun Tong, Chengchang Zhang, Changyong Li A Modified Technique for Analysis of Synchronous Counters Constructed with Flip-flops. Search on Bibsonomy J. Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Takumi Okuhira, Tohru Ishihara Unified Gated Flip-Flops for Reducing the Clocking Power in Register Circuits. Search on Bibsonomy PATMOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Renato P. Ribas, André Inácio Reis, André Ivanov Performance and functional test of flip-flops using ring oscillator structure. Search on Bibsonomy IDT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Yukiya Miura Dual Edge Triggered Flip-Flops for Noise Aware Design. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF data signal, dependable design, edge triggered flip-flop, noise, synchronous circuits
30Renato P. Ribas, Yuyang Sun, André Inácio Reis, André Ivanov Ring oscillators for functional and delay test of latches and flip-flops. Search on Bibsonomy SBCCI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30David Rennie, David Li, Manoj Sachdev, Bharat L. Bhuva, Srikanth Jagannathan, Shi-Jie Wen, Rick Wong Performance, metastability and soft-error robustness tradeoffs for flip-flops in 40nm CMOS. Search on Bibsonomy CICC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30David Li, Pierce Chuang, David Nairn, Manoj Sachdev Design and analysis of metastable-hardened flip-flops in sub-threshold region. Search on Bibsonomy ISLPED The full citation details ... 2011 DBLP  BibTeX  RDF
30Vikram G. Rao, Hamid Mahmoodi Analysis of reliability of flip-flops under transistor aging effects in nano-scale CMOS technology. Search on Bibsonomy ICCD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Sagi Fisher, Raz Dagan, Sagi Blonder, Alexander Fish An improved model for delay/energy estimation in near-threshold flip-flops. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Renato P. Ribas, Yuyang Sun, André Inácio Reis, André Ivanov Self-checking test circuits for latches and flip-flops. Search on Bibsonomy IOLTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30A. Perumal, T. K. Bhattacharyya Design of 1 V CMOS VCO followed by proposed source degeneration based CML flip-flops for 2.4 GHz short range wireless applications. Search on Bibsonomy ICWET The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30David Li, David Rennie, Pierce Chuang, David Nairn, Manoj Sachdev Design and analysis of metastable-hardened and soft-error tolerant high-performance, low-power flip-flops. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Ajay N. Bhoj, Niraj K. Jha Design of ultra-low-leakage logic gates and flip-flops in high-performance FinFET technology. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Teruki Nakasato, Toru Nakura, Kunihiro Asada Stress-balance Flip-Flops for NBTI tolerant circuit based on Fine-Grain Redundancy. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Chun Zhao, W. Zhang, C. Z. Zhao, Ka Lok Man, Taikyeong T. Jeong, J. K. Seon, Y. Lee Standard cell library establishment and simulation for scan D flip-flops based on 0.5 micron CMOS mixed-signal process. Search on Bibsonomy ISOCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Nader Alawadhi, Ozgur Sinanoglu Revival of partial scan: Test cube analysis driven conversion of flip-flops. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Omid Sarbishei, Mohammad Maymandi-Nejad A Novel Overlap-Based Logic Cell: An Efficient Implementation of Flip-Flops With Embedded Logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Kazuteru Namba, Takashi Ikeda, Hideo Ito Construction of SEU Tolerant Flip-Flops Allowing Enhanced Scan Delay Fault Testing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Hiroyuki Yotsuyanagi, Masayuki Yamamoto, Masaki Hashizume Scan Chain Ordering to Reduce Test Data for BIST-Aided Scan Test Using Compatible Scan Flip-Flops. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Masanori Kurimoto, Hiroaki Suzuki, Rei Akiyama, Tadao Yamanaka, Haruyuki Ohkuma, Hidehiro Takata, Hirofumi Shinohara Phase-adjustable error detection flip-flops with 2-stage hold-driven optimization, slack-based grouping scheme and slack distribution control for dynamic voltage scaling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo General Strategies to Design Nanometer Flip-Flops in the Energy-Delay Space. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Vincent van der Leest, Geert Jan Schrijen, Helena Handschuh, Pim Tuyls Hardware intrinsic security from D flip-flops. Search on Bibsonomy STC@CCS The full citation details ... 2010 DBLP  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo Physical Design Aware Comparison of Flip-Flops for High-Speed Energy-Efficient VLSI Circuits. Search on Bibsonomy PATMOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Marco Lanuzza, Raffaele De Rose, Fabio Frustaci, Stefania Perri, Pasquale Corsonello Impact of Process Variations on Pulsed Flip-Flops: Yield Improving Circuit-Level Techniques and Comparative Analysis. Search on Bibsonomy PATMOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Marco Lanuzza, Raffaele De Rose, Fabio Frustaci, Stefania Perri, Pasquale Corsonello Impact of Process Variations on Flip-Flops Energy and Timing Characteristics. Search on Bibsonomy ISVLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Masashi Ishikawa, Hiroyuki Yotsuyanagi, Masaki Hashizume Test Data Reduction for BIST-Aided Scan Test Using Compatible Flip-Flops and Shifting Inverter Code. Search on Bibsonomy Asian Test Symposium The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Yngvar Berg Novel high speed and ultra low voltage CMOS flip-flops. Search on Bibsonomy ICECS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Yao-Tsung Chang, Chih-Cheng Hsu, Mark Po-Hung Lin, Yu-Wen Tsai, Sheng-Fong Chen Post-placement power optimization with multi-bit flip-flops. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo Clock distribution in clock domains with Dual-Edge-Triggered Flip-Flops to improve energy-efficiency. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry Comparative analysis of power yield improvement under process variation of sub-threshold flip-flops. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Hailong Jiao, Volkan Kursun Smooth awakenings: Reactivation noise suppressed low-leakage and robust MTCMOS flip-flops. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30David Li, Pierce Chuang, Manoj Sachdev Comparative analysis and study of metastability on high-performance flip-flops. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Eun Ju Hwang, Wook Kim, Young Hwan Kim Improving the process variation tolerability of flip-flops for UDSM circuit design. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Yuji Kunitake, Toshinori Sato, Hiroto Yasuura A Replacement Strategy for Canary Flip-Flops. Search on Bibsonomy PRDC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Chengtian Ouyang, Jianhui Jiang, Jie Xiao Reliability Evaluation of Flip-Flops Based on Probabilistic Transfer Matrices. Search on Bibsonomy PRDC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Changnoh Yoon, Youngmin Cho, Jinsang Kim, Won-Kyung Cho Efficient DFV-aware flip-flops. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
30Rita Lovassy Multilayer Perceptrons Based on Fuzzy Flip-Flops Search on Bibsonomy 2010   RDF
30Lih-Yih Chiou, Shien-Chun Luo Energy-Efficient Dual-Edge-Triggered Level Converting Flip Flops With Symmetry in Setup Times and Insensitivity to Output Parasitics. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Yingbo Hu, Runde Zhou Low Clock-Swing TSPC Flip-Flops for Low-Power Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Jaehyun Kim, Chungki Oh, Youngsoo Shin Minimizing leakage power of sequential circuits through mixed-Vt flip-flops and multi-Vt combinational gates. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Hassan Mostafa, Mohab Anis, Mohamed I. Elmasry Comparative Analysis of Timing Yield Improvement under Process Variations of Flip-Flops Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo Impact of clock slope on energy/delay of pulsed flip-flops and optimum clock domain design. Search on Bibsonomy ECCTD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Massimo Alioto, Elio Consoli, Gaetano Palumbo Optimum clock slope for flip-flops within a clock domain: Analysis and a case study. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Katherine Shu-Min Li, Ming-Hua Hsieh, Sying-Jyan Wang Level Converting Scan Flip-flops. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Joon-Sung Yang, Benoit Nadeau-Dostie, Nur A. Touba Reducing Test Point Area for BIST through Greater Use of Functional Flip-Flops to Drive Control Points. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Joon-Sung Yang, Benoit Nadeau-Dostie, Nur A. Touba Test point insertion using functional flip-flops to drive control points. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Kentaroh Katoh, Kazuteru Namba, Hideo Ito Two-Stage Stuck-at Fault Test Data Compression Using Scan Flip-Flops with Delay Fault Testability. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Joo-Seong Kim, Bai-Sun Kong Self-Resetting Level-Conversion Flip-Flops with Direct Output Feedback for Dual-Supply SoCs. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Amir Moradi 0001, Thomas Eisenbarth 0001, Axel Poschmann, Carsten Rolfes, Christof Paar, Mohammad T. Manzuri Shalmani, Mahmoud Salmasizadeh Information Leakage of Flip-Flops in DPA-Resistant Logic Styles. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2008 DBLP  BibTeX  RDF
30Rita Lovassy, László T. Kóczy, László Gál Multilayer Pereeptron implemented by fuzzy flip-flops. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Mohammad Ghasemazar, Behnam Amelifard, Massoud Pedram A mathematical solution to power optimal pipeline design by utilizing soft edge flip-flops. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Kaijian Shi Area and power-delay efficient state retention pulse-triggered flip-flops with scan and reset capabilities. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Tino Heijmen Soft-Error Vulnerability of Sub-100-nm Flip-Flops. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Aditya Jagirdar, Roystein Oliveira, Tapan J. Chakraborty A Robust Architecture for Flip-Flops Tolerant to Soft-Errors and Transients from Combinational Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Hong Li, Lifang Ye, Jinghong Fu, Jianping Hu Single-phase power-gating adiabatic flip-flops. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Masanori Kurimoto, Hiroaki Suzuki, Rei Akiyama, Tadao Yamanaka, Haruyuki Ohkuma, Hidehiro Takata, Hirofumi Shinohara Phase-adjustable error detection flip-flops with 2-stage hold driven optimization and slack based grouping scheme for dynamic voltage scaling. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CTS, error-detection flip-flop, DVS, STA
30Shunsuke Okura, Tetsuro Okura, Indika U. K. Bogoda Appuhamylage, Kenji Taniguchi 0001 A 10-bit 800-Column Low-Power RAM Bank Including Energy-Efficient D-Flip-Flops for a Column-Parallel ADC. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30René Kothe, Heinrich Theodor Vierhaus Flip-Flops and Scan-Path Elements for Nanoelectronics. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Zhiyu Liu, Volkan Kursun New MTCMOS Flip-Flops with Simple Control Circuitry and Low Leakage Data Retention Capability. Search on Bibsonomy ICECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Toru Nakura, Koichi Nose, Masayuki Mizuno Fine-Grain Redundant Logic Using Defect-Prediction Flip-Flops. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Eric L. Hill, Mikko H. Lipasti Transparent mode flip-flops for collapsible pipelines. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Amith Singhee, Rob A. Rutenbar From Finance to Flip Flops: A Study of Fast Quasi-Monte Carlo Methods from Computational Finance Applied to Statistical Circuit Analysis. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Roystein Oliveira, Aditya Jagirdar, Tapan J. Chakraborty A TMR Scheme for SEU Mitigation in Scan Flip-Flops. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Hyoun Soo Park, Bong Hyun Lee, Young Hwan Kim Level Converting Flip-Flops for High-Speed and Low-Power Applications. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Józef Kalisz, Zbigniew Jachna Metastability tests of flip-flops in programmable digital circuits. Search on Bibsonomy Microelectron. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Ahmed Sayed, Hussain Al-Asaad Survey and Evaluation of Low-Power Flip-Flops. Search on Bibsonomy CDES The full citation details ... 2006 DBLP  BibTeX  RDF
30Martin Hansson, Atila Alvandpour A Leakage Compensation Technique for Dynamic Latches and Flip-Flops in Nano-Scale CMOS. Search on Bibsonomy SoCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Fatemeh Aezinia, S. Najafzadeh, Ali Afzali-Kusha Novel High Speed and Low Power Single and Double Edge-Triggered Flip-Flops. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Thomas E. Collins, Vikas Manan, Stephen I. Long Design analysis and circuit enhancements for high-speed bipolar flip-flops. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Bong Hyun Lee, Young Hwan Kim, Kwang-Ok Jeong Clock-Free MTCMOS Flip-Flops with High Speed and Low Power. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Shin-ichi Yoshida, Kaoru Hirota Lattice Structure of D, T, and SR Fuzzy Flip-Flops Under Max-Min Logic. Search on Bibsonomy J. Adv. Comput. Intell. Intell. Informatics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30A. P. Dhande, V. T. Ingole Design of 3-Valued R-S & D Flip-Flops Based on Simple Ternary Gates. Search on Bibsonomy Int. J. Softw. Eng. Knowl. Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Víctor H. Champac, Antonio Zenteno, José L. Garcia Testing of resistive opens in CMOS latches and flip-flops. Search on Bibsonomy ETS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30A. P. Dhande, V. T. Ingole Design Of 3-Valued R-S & D Flip - Flops Based on Simple Ternary Gates. Search on Bibsonomy WEC (2) The full citation details ... 2005 DBLP  BibTeX  RDF
30David Levacq, Vincent Dessard, Denis Flandre Ultra-low power flip-flops for MTCMOS circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 823 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license