The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1989 (24) 1990-1992 (19) 1993-1994 (17) 1995-1996 (17) 1997-1998 (19) 1999 (16) 2000 (18) 2001 (16) 2002 (25) 2003 (27) 2004 (37) 2005 (39) 2006 (47) 2007 (44) 2008 (31) 2009 (27) 2010-2012 (18) 2013-2015 (23) 2016 (16) 2017-2018 (17) 2019-2020 (23) 2021-2022 (27) 2023 (21) 2024 (5)
Publication types (Num. hits)
article(180) incollection(10) inproceedings(381) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 322 occurrences of 191 keywords

Results
Found 573 publication records. Showing 573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Shigetoshi Nakatake, Keishi Sakanushi, Yoji Kajitani, Masahiro Kawakita The channeled-BSG: a universal floorplan for simultaneous place/route with IC applications. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Parthasarathi Dasgupta, Susmita Sur-Kolay Slicibility of rectangular graphs and floorplan optimization. Search on Bibsonomy ISPD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF graph dualization, nonslicible floorplans, slicible floorplans, very large scale integration, heuristic search, planar graphs, floorplanning
18Isao Tazawa, Seiichi Koakutsu, Hironori Hirata An Immunity Based Genetic Algorithm and Its Application to the VLSI Floorplan Design Problem. Search on Bibsonomy International Conference on Evolutionary Computation The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Maurizio Rebaudengo, Matteo Sonza Reorda A Cellular Genetic Algorithm for the Floorplan Area Optimization Problem on a SIMD Architecture. Search on Bibsonomy HPCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Danny Z. Chen, Xiaobo Hu 0001 Efficient Approximation Algorithms for Floorplan Area Minimization. Search on Bibsonomy DAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Kai Wang, Wai-Kai Chen Floorplan Area Optimization Using Network Analogous Approach. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Matteo Sonza Reorda, Maurizio Rebaudengo A Genetic Algorithm for Floorplan Area Optimization. Search on Bibsonomy International Conference on Evolutionary Computation The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Cheng-Hsi Chen, Ioannis G. Tollis A New Approach to Floorplan Area Optimization: To Slice or not to Slice? Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Maurizio Rebaudengo, Matteo Sonza Reorda Floorplan area optimization using genetic algorithms. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Ting-Chi Wang, D. F. Wong 0001 Graph-based techniques to speed up floorplan area optimization. Search on Bibsonomy Integr. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Michael Kolonko Optimal compactification of a floorplan and its relation to other optimization problems-a dynamic programming approach. Search on Bibsonomy ZOR Methods Model. Oper. Res. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Shuji Tsukiyama, Keiichi Koike, Isao Shirakawa An Algorithm to Eliminate All Complex Triangles in a Maximal Planar Graph for Use in VLSI floorplan. Search on Bibsonomy Algorithmic Aspects of VLSI Layout The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Ting-Chi Wang, D. F. Wong 0001 A note on the Complexity of Stockmeyer's floorplan Optimization Technique. Search on Bibsonomy Algorithmic Aspects of VLSI Layout The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Seiichi Koakutsu, Hironori Hirata Genetic simulated annealing for floorplan design. Search on Bibsonomy System Modelling and Optimization The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Majid Sarrafzadeh Transforming an arbitrary floorplan into a sliceable one. Search on Bibsonomy ICCAD The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Sharat Prasad, Paul Kollaritsch, P. Anirudhan, D. K. Hwang, Steve Lusky, R. Farrow Efficient Floorplan Enumeration Using Dynamic Programming. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
18Kai Wang, Wai-Kai Chen A Class of Zero Wasted Area Floorplan for VLSI Design. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
18Mitsuho Seki, Shun'ichi Kobayashi, Munehiro Takubo, Kazuyoshi Kurosawa A New Floorplan Simultaneously Placing Blocks over Two Logic Layers for Sea-of-gate Gate Arrays. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
18Zahava Koren, Israel Koren Does the Floorplan of a Chip Affect Its Yield? Search on Bibsonomy DFT The full citation details ... 1993 DBLP  BibTeX  RDF
18Tsu-Chang Lee A Bounded 2D Contour Searching Algorithm for Floorplan Design with Arbitrarily Shaped Rectilinear and Soft Modules. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Ting-Chi Wang, D. F. Wong 0001 A Graph Theoretic Technique to Speed up Floorplan Area Optimization. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF
18A. J. W. M. ten Berg Floorplan Optimized Topological Partitioning of Programmed Logic Arrays. Search on Bibsonomy Synthesis for Control Dominated Circuits The full citation details ... 1992 DBLP  BibTeX  RDF
18Youngtak Kim, Youngjo Jang, Myunghwan Kim 0001 Stepwise-overlapped parallel annealing and its application to floorplan designs. Search on Bibsonomy Comput. Aided Des. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Ting-Chi Wang, D. F. Wong 0001 Efficient shape curve construction in floorplan design. Search on Bibsonomy EURO-DAC The full citation details ... 1991 DBLP  BibTeX  RDF
18Cheng-Hsi Chen, Ioannis G. Tollis An Optimal Algorithm for Spiral Floorplan Designs. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Masako Murofushi, Masaaki Yamada, Takashi Mitsuhashi FOLM-Planner: A New Floorplanner with a Frame Overlapping Floorplan Model Suitable for SOG (Sea-of-Gates) Type Gate Arrays. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Chidchanok Lursinsap, Daniel Gajski Power routing in channelless floorplan layouts. Search on Bibsonomy Integr. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Thomas R. Mueller, D. F. Wong 0001, C. L. Liu 0001 An enhanced bottom-up algorithm for floorplan design. Search on Bibsonomy Integr. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18D. F. Wong 0001, C. L. Liu 0001 Floorplan Design of VLSI Circuits. Search on Bibsonomy Algorithmica The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18D. F. Wong 0001, Khe-Sing The An algorithm for hierarchical floorplan design. Search on Bibsonomy ICCAD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Sai-keung Dong, Jason Cong, C. L. Liu 0001 Constrained floorplan design for flexible blocks. Search on Bibsonomy ICCAD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Sunil Arvindam, Vipin Kumar 0001, V. Nageshwara Rao Floorplan optimization on multiprocessors. Search on Bibsonomy ICCD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Y. C. Hsu, William J. Kubitz ALSO: A system for chip floorplan design. Search on Bibsonomy Integr. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18James P. Cohoon, Shailesh U. Hegde, Worthy N. Martin, Dana Richards Floorplan design using distributed genetic algorithms. Search on Bibsonomy ICCAD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Philippe Chaisemartin Contribution à la génération automatique de plans de masse. (Contribution to automatic floorplan design). Search on Bibsonomy 1986   RDF
18Larry J. Stockmeyer Optimal Orientations of Cells in Slicing Floorplan Designs Search on Bibsonomy Inf. Control. The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
18Ralph H. J. M. Otten Automatic floorplan design. Search on Bibsonomy DAC The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
11Jason Cong, Guojie Luo An analytical placer for mixed-size 3D placement. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF placement, 3D integration, analytical method
11Lane Schwartz, Luan Nguyen, Andrew Exley, William Schuler Positive effects of redundant descriptions in an interactive semantic speech interface. Search on Bibsonomy IUI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interactive semantics, semantics, speech recognition, spoken language interfaces
11Xin Li, Yuchun Ma, Xianlong Hong A novel thermal optimization flow using incremental floorplanning for 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Wan-Ping Lee, Diana Marculescu, Yao-Wen Chang Post-floorplanning power/ground ring synthesis for multiple-supply-voltage designs. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiple-supply voltage designs, physical design, floorplanning, vlsi
11Domenik Helms, Kai Hylla, Wolfgang Nebel Hybrid logical-statistical simulation with thermal and IR-drop mapping for degradation and variation prediction. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF electro-thermal coupling, system level estimation, reliability, thermal modelling, IR-drop
11Jyh-Perng Fang, Yang-Lang Chang, Chih-Chia Chen, Wen-Yew Liang, Tung-Ju Hsieh, Muhammad T. Satria, Chin-Chuan Han A Parallel Simulated Annealing Approach for Floorplanning in VLSI. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FFA, Parallel Computing, Simulated Annealing, OpenMP, Floorplanning
11Renshen Wang, Chung-Kuan Cheng On the complexity of graph cuboidal dual problems for 3-D floorplanning of integrated circuit design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3-D integrated circuits, cuboidal dual, computational complexity
11Hsin-Hua Pan, Hung-Ming Chen, Chia-Yi Chang Buffer/flip-flop block planning for power-integrity-driven floorplanning. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Pritha Banerjee 0001, Megha Sangtani, Susmita Sur-Kolay Floorplanning for Partial Reconfiguration in FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Vyas Krishnan, Srinivas Katkoori Simultaneous Peak Temperature and Average Power Minimization during Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Jackey Z. Yan, Natarajan Viswanathan, Chris Chu Handling complexities in modern large-scale mixed-size placement. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF incremental placement, mixed-size design, floorplanning
11Alastair M. Smith, George A. Constantinides, Peter Y. K. Cheung Integrated Floorplanning, Module-Selection, and Architecture Generationfor Reconfigurable Devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Minsik Cho, David Z. Pan Fast Substrate Noise Aware Floorplanning for Mixed Signal SOC Designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Tung-Chieh Chen, Yao-Wen Chang, Shyh-Chang Lin A New Multilevel Framework for Large-Scale Interconnect-Driven Floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Song Chen 0001, Takeshi Yoshimura Fixed-Outline Floorplanning: Block-Position Enumeration and a New Method for Calculating Area Costs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Chaomin Luo, Miguel F. Anjos, Anthony Vannelli Large-scale fixed-outline floorplanning design using convex optimization techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Hasliza A. Rahim, Ab Al-Hadi Ab Rahman, R. Badlishah Ahmad, Wan Nur Suryani Firuz Wan Ariffin, Muhammad Imran Ahmad The Performance Study of Two Genetic Algorithm Approaches for VLSI Macro-Cell Layout Area Optimization. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Steady-State Algorithm, Genetic Algorithm, VLSI, Area Optimization, Simple Genetic Algorithm
11Santhosh Coimbatore Vaidyanathan, Amit Mangesh Brahme, Sukumar Jairam Techniques for Early Package Closure in System-in-Packages. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF spacer, landing, SoC, SIP, CSP, MCM, POP
11Zvika Guz, Idit Keidar, Avinoam Kolodny, Uri C. Weiser Utilizing shared data in chip multiprocessors with the nahalal architecture. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip multiprocessors, cache memories
11Zvika Guz, Idit Keidar, Avinoam Kolodny, Uri C. Weiser Nahalal: Cache Organization for Chip Multiprocessors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Srinivasan Murali, David Atienza, Paolo Meloni, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Changbo Long, Lucanus J. Simonson, Weiping Liao, Lei He 0001 Microarchitecture Configurations and Floorplanning Co-Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Zhenyu (Peter) Gu, Jia Wang 0003, Robert P. Dick, Hai Zhou 0001 Unified Incremental Physical-Level and High-Level Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001, Shan Zeng, Jinian Bian, Wenjian Yu, Hannah Honghua Yang, Vijay Pitchumani, Chung-Kuan Cheng Efficient Thermal via Planning Approach and Its Application in 3-D Floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Subhashis Majumder, Susmita Sur-Kolay, Bhargab B. Bhattacharya, Swarup Kumar Das Hierarchical partitioning of VLSI floorplans by staircases. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF balanced bipartitioning, NP-completeness, Floorplanning, network flow, global routing
11Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang Temporal floorplanning using the three-dimensional transitive closure subGraph. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF temporal floorplanning, Reconfigurable computing, partially dynamical reconfiguration
11David Atienza, Pablo García Del Valle, Giacomo Paci, Francesco Poletti, Luca Benini, Giovanni De Micheli, Jose Manuel Mendias, Román Hermida HW-SW emulation framework for temperature-aware design in MPSoCs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Thermal-aware design, FPGA, emulation, MPSoC, temperature
11Hushrav Mogal, Kia Bazargan Microarchitecture floorplanning for sub-threshold leakage reduction. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Heyong Wang, Kang Hu, Jing Liu 0006, Licheng Jiao Multiagent evolutionary algorithm for floorplanning using moving block sequence. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Krishnan Srinivasan, Karam S. Chatha, Goran Konjevod Application Specific Network-on-Chip Design with Guaranteed Quality Approximation Algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir LEAF: A System Level Leakage-Aware Floorplanner for SoCs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LEAF, leakage-aware floorplanning, temperature-aware leakage power, dynamic power profile, system on chip, SoC designs
11Love Singhal, Elaheh Bozorgzadeh Novel Multi-Layer floorplanning for Heterogeneous FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ke Meng, Frank Huebbers, Russ Joseph, Yehea I. Ismail Modeling and Characterizing Power Variability in Multicore Architectures. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mal-fabricated chip, VariPower, project power variability, microarchitectural block, power variability characterization, statistical analysis, multicore processor, multicore architecture, technology scaling, parameter variation, SPICE simulation
11Wan-Ping Lee, Hung-Yi Liu, Yao-Wen Chang An ILP algorithm for post-floorplanning voltage-island generation considering power-network planning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Pingqiang Zhou, Yuchun Ma, Zhuoyuan Li, Robert P. Dick, Li Shang, Hai Zhou 0001, Xianlong Hong, Qiang Zhou 0001 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Qiang Ma 0002, Evangeline F. Y. Young Voltage island-driven floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Domenik Helms, Olaf Meyer, Marko Hoyer, Wolfgang Nebel Voltage- and ABB-island optimization in high level synthesis. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive body biasing, process variation, leakage, voltage islands
11Yici Cai, Bin Liu 0007, Jin Shi, Qiang Zhou 0001, Xianlong Hong Power Delivery Aware Floorplanning for Voltage Island Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Hua Xiang 0001, Liang Deng, Li-Da Huang, Martin D. F. Wong OPC-Friendly Bus Driven Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Ahmed Youssef, Tor Myklebust, Mohab Anis, Mohamed I. Elmasry A Low-Power Multi-Pin Maze Routing Methodology. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Harlan Hile, Gaetano Borriello Information Overlay for Camera Phones in Indoor Environments. Search on Bibsonomy LoCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Sankar P. Debnath, Ganesh P. Kumar 0002, Sukumar Jairam Calibration Based Methods for Substrate Modeling and Noise Analysis for Mixed-Signal SoCsc. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Krishnan Srinivasan, Karam S. Chatha, Goran Konjevod Linear-programming-based techniques for synthesis of network-on-chip architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Ruiming Chen, Hai Zhou 0001 An Efficient Data Structure for Maxplus Merge in Dynamic Programming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Xiaoping Tang, Ruiqi Tian, Martin D. F. Wong Minimizing wire length in floorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Milenko Drinic, Darko Kirovski, Seapahn Megerian, Miodrag Potkonjak Latency-Guided On-Chip Bus-Network Design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jennifer L. Wong, Azadeh Davoodi, Vishal Khandelwal, Ankur Srivastava 0001, Miodrag Potkonjak A statistical methodology for wire-length prediction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Tung-Chieh Chen, Yao-Wen Chang Modern Floorplanning Based on B*-Tree and Fast Simulated Annealing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jiang Xu 0001, Wayne H. Wolf, Jörg Henkel, Srimat T. Chakradhar A design methodology for application-specific networks-on-chip. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF regular topology, architecture, methodology, networks-on-chip, Application-specific
11Tsung-Ying Sun, Sheng-Ta Hsieh, Hsiang-Min Wang, Cheng-Wei Lin Floorplanning Based on Particle Swarm Optimization. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Bryan Black, Murali Annavaram, Ned Brekelbaum, John DeVale, Lei Jiang, Gabriel H. Loh, Don McCaule, Patrick Morrow, Donald W. Nelson, Daniel Pantuso, Paul Reed, Jeff Rupley, Sadasivan Shankar, John Paul Shen, Clair Webb Die Stacking (3D) Microarchitecture. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Minsik Cho, Hongjoong Shin, David Z. Pan Fast substrate noise-aware floorplanning with preference directed graph for mixed-signal SOCs. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chih-Yang Peng, Wen-Chang Chao, Yao-Wen Chang, Jyh-Herng Wang Simultaneous block and I/O buffer floorplanning for flip-chip design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Love Singhal, Elaheh Bozorgzadeh Physically-aware exploitation of component reuse in a partially reconfigurable architecture. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Krishnan Srinivasan, Karam S. Chatha Layout aware design of mesh based NoC architectures. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network-on-chip, automated design, mesh topology
11Yiu-Cheong Tam, Evangeline F. Y. Young, Chris C. N. Chu Analog placement with symmetry and other placement constraints. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF symmetry constraints, placement, analog circuits, sequence-pair
11Hsin-Hsiung Huang, Yung-Ching Chen, Tsai-Ming Hsieh A congestion-driven buffer planner with space reservation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Tan Yan, Qing Dong, Yasuhiro Takashima, Yoji Kajitani How does partitioning matter for 3D floorplanning? Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF partitioning, floorplanning, 3D IC, wire length
11Wei-Lun Hung, Greg M. Link, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Interconnect and Thermal-aware Floorplanning for 3D Microprocessors. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Jia Wang 0003, Hai Zhou 0001, Ping-Chih Wu Processing Rate Optimization by Sequential System Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Liu Yang, Sheqin Dong, Xianlong Hong, Yuchun Ma A Two-stage Incremental Floorplanning Algorithm with Boundary Constraints. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh Current demand balancing: a technique for minimization of current surge in high performance clock-gated microprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jai-Ming Lin, Yao-Wen Chang TCG: A transitive closure graph-based representation for general floorplans. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license