The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1977 (16) 1978-1982 (24) 1983-1984 (32) 1985 (19) 1986 (35) 1987 (38) 1988 (71) 1989 (92) 1990 (117) 1991 (129) 1992 (132) 1993 (128) 1994 (151) 1995 (163) 1996 (138) 1997 (158) 1998 (101) 1999 (144) 2000 (120) 2001 (86) 2002 (85) 2003 (110) 2004 (116) 2005 (162) 2006 (163) 2007 (190) 2008 (185) 2009 (158) 2010 (139) 2011 (97) 2012 (59) 2013 (57) 2014 (72) 2015 (48) 2016 (39) 2017 (43) 2018 (29) 2019 (25) 2020 (22) 2021-2022 (21) 2023-2024 (9)
Publication types (Num. hits)
article(1129) book(3) incollection(15) inproceedings(2474) phdthesis(101) proceedings(1)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(162) IEEE Trans. Computers(132) ISCA(98) IPDPS(95) HPCA(73) ICPP(73) J. Parallel Distributed Comput...(70) SC(61) ICS(54) Euro-Par(52) IPPS(50) ICPP (1)(45) PACT(45) SIGMETRICS(43) DAC(40) Parallel Comput.(39) More (+10 of total 632)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4193 occurrences of 1488 keywords

Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Jose Renau, James Tuck 0001, Wei Liu 0014, Luis Ceze, Karin Strauss, Josep Torrellas Tasking with out-of-order spawn in TLS chip multiprocessors: microarchitecture and compilation. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Paolo Valente, Giuseppe Lipari An Upper Bound to the Lateness of Soft Real-Time Tasks Scheduled by EDF on Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Long Liu, Wei Hu 0002, Chunrong Lai, Hongshan Jiang, Wenguang Chen, Weimin Zheng, Yimin Zhang 0002 Parallel Module Network Learning on Distributed Memory Multiprocessors. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Module Network, load balance, parallelization, cache, MPI
18Sri Hari Krishna Narayanan, Guilin Chen, Mahmut T. Kandemir, Yuan Xie 0001 Temperature-Sensitive Loop Parallelization for Chip Multiprocessors. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Mieczyslaw Drabowski, Krzysztof Czajkowski Minimizing Cost and Minimizing Schedule Length in Synthesis of Fault Tolerant Multiprocessors Systems. Search on Bibsonomy PPAM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Kyriakos Stavrou, Pedro Trancoso TSIC: Thermal Scheduling Simulator for Chip Multiprocessors. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Evan Speight, Hazim Shafi, Lixin Zhang 0002, Ramakrishnan Rajamony Adaptive Mechanisms and Policies for Managing Cache Hierarchies in Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Michael Zhang, Krste Asanovic Victim Replication: Maximizing Capacity while Hiding Wire Delay in Tiled Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Partha Kundu, Murali Annavaram, Trung A. Diep, John Paul Shen A case for shared instruction cache on chip multiprocessors running OLTP. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Ahmed Louri, Avinash Karanth Kodi An Optical Interconnection Network and a Modified Snooping Protocol for the Design of Large-Scale Symmetric Multiprocessors (SMPs). Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parallel optical interconnects, scalable optical networks, cache coherence, SMPs
18Juan Carlos Pichel, Dora Blanco Heras, José Carlos Cabaleiro, Francisco F. Rivera Improving the Locality of the Sparse Matrix-Vector Product on Shared Memory Multiprocessors. Search on Bibsonomy PDP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Vinod Viswanath Multi-log Processor - Towards Scalable Event-Driven Multiprocessors. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18David A. Bader, Guojing Cong A Fast, Parallel Spanning Tree Algorithm for Symmetric Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Georgios Tsilikas, Martin Fleury Matrix Multiplication Performance on Commodity Shared-Memory Multiprocessors. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Nitin Auluck, Dharma P. Agrawal An Integrated Scheduling Algorithm for Precedence Constrained Hard and Soft Real-Time Tasks on Heterogeneous Multiprocessors. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Mahmut T. Kandemir LODS: locality-oriented dynamic scheduling for on-chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded system, parallelization, data locality, loop scheduling
18Mohamed A. Gomaa, Chad Scarbrough, T. N. Vijaykumar, Irith Pomeranz Transient-Fault Recovery for Chip Multiprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Laxmi N. Bhuyan, Hu-Jun Wang Switch MSHR: A Technique to Reduce Remote Read Memory Access Time in CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CC-NUMA multiprocessor, memory latency problem, miss status holding register, interconnection network, execution-driven simulation
18Björn Andersson, Jan Jonsson The Utilization Bounds of Partitioned and Pfair Static-Priority Scheduling on Multiprocessors are 50%. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Anand Srinivasan, James H. Anderson Efficient Scheduling of Soft Real-Time Applications on Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Shelby H. Funk, Sanjoy K. Baruah Characteristics of EDF Schedulability on Uniform Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Lin Li 0002, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Ismail Kadayif CCC: Crossbar Connected Caches for Reducing Energy Consumption of On-Chip Multiprocessors. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas Tradeoffs in Buffering Memory State for Thread-Level Speculation in Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Yoshimitsu Yanagawa, Luong Dinh Hung, Chitaka Iwama, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka Complexity Analysis of a Cache Controller for Speculative Multithreading Chip Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Guangyu Chen, Mahmut T. Kandemir, Alok N. Choudhary, Ibrahim Kolcu Exploiting On-Chip Data Transfers for Improving Performance of Chip-Scale Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Masaru Takesue A Model of Pipelined Mutual Exclusion on Cache-Coherent Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Models, pipelining, mutual exclusion
18Gregory S. Johnson, Simha Sethumadhavan Compiler Directed Parallelization of Loops in Scale for Shared-Memory Multiprocessors. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Guan-Joe Lai, Jywe-Fei Fang, Pei-Shan Sung, Der-Lin Pean Scheduling Parallel Tasks onto NUMA Multiprocessors with Inter-processor Communication Overhead. Search on Bibsonomy ISPA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Panagiotis E. Hadjidoukas, Eleftherios D. Polychronopoulos, Theodore S. Papatheodorou OpenMP Runtime Support for Clusters of Multiprocessors. Search on Bibsonomy WOMPAT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Milo M. K. Martin, Pacia J. Harper, Daniel J. Sorin, Mark D. Hill, David A. Wood 0001 Using Destination-Set Prediction to Improve the Latency/Bandwidth Tradeoff in Shared-Memory Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Mohamed A. Gomaa, Chad Scarbrough, Irith Pomeranz, T. N. Vijaykumar Transient-Fault Recovery for Chip Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Haruyuki Matsuo, Shigeru Imafuku, Kazuhiko Ohno, Hiroshi Nakashima Shaman: A Distributed Simulator for Shared Memory Multiprocessors. Search on Bibsonomy MASCOTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Peter Meerwald, Roland Norcen, Andreas Uhl Parallel JPEG2000 Image Coding on Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Dimitrios S. Nikolopoulos Quantifying and Resolving Remote Memory Access Contention on Hardware DSM Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Srikanth Ramamurthy Scheduling Periodic Hard Real-Time Tasks with Arbitrary Deadlines on Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Manuel Arenaz, Juan Touriño, Ramon Doallo Irregular Assignment Computations on cc-NUMA Multiprocessors. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Val Gloukhov Parallel Implementation of the INM Atmospheric General Circulation Model on Distributed Memory Multiprocessors. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Magnus Ekman, Per Stenström, Fredrik Dahlgren TLB and snoop energy-reduction using virtual caches in low-power chip-multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF virtual caches, low-power, CMP, snoop
18Anand Srinivasan, James H. Anderson Optimal rate-based scheduling on multiprocessors. Search on Bibsonomy STOC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Mani Azimi, Faye A. Briggs, Michel Cekleov, Manoj Khare, Akhilesh Kumar, Lily Pao Looi Scalability Port: A Coherent Interface for Shared Memory Multiprocessors. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Ismail Kadayif, Mahmut T. Kandemir, Ugur Sezer An integer linear programming based approach for parallelizing applications in On-chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF constraint-based compilation, embedded systems, loop-Level parallelism
18Nimar S. Arora, Robert D. Blumofe, C. Greg Plaxton Thread Scheduling for Multiprogrammed Multiprocessors. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18María Jesús Garzarán, Milos Prvulovic, Ye Zhang 0005, Josep Torrellas, Alin Jula, Hao Yu 0008, Lawrence Rauchwerger Architectural Support for Parallel Reductions in Scalable Shared-Memory Multiprocessors. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Manuel E. Acacio, José González 0002, José M. García 0001, José Duato A New Scalable Directory Architecture for Large-Scale Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Shigeru Imafuku, Kazuhiko Ohno, Hiroshi Nakashima Reference Filtering for Distributed Simulation of Shared Memory Multiprocessors. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Thierry Monteil 0001, Patricia Pascal Task Allocation Using Processor Load Prediction on Multiprocessors Cluster. Search on Bibsonomy IWCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Shelby H. Funk, Joël Goossens, Sanjoy K. Baruah On-Line Scheduling on Uniform Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Jyh-Biau Chang, Ce-Kuen Shieh Teamster: A Transparent Distributed Shared Memory for Cluster Symmetric Multiprocessors. Search on Bibsonomy CCGRID The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Takashi Hirooka, Hiroshi Ohta, Takayoshi Iitsuka Automatic Data Distribution Method Using First Touch Control for Distributed Shared Memory Multiprocessors. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Chi-Hsiang Yeh, Behrooz Parhami Parallel Algorithms for Index-Permutation Graphs - An Extension of Cayley Graphs for Multiple Chip-Multiprocessors (MCMP). Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Kenneth M. Wilson, Bob B. Aglietti Dynamic page placement to improve locality in CC-NUMA multiprocessors for TPC-C. Search on Bibsonomy SC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF TPC-C, dynamic page placement, multiprocessor, replication, migration, CC-NUMA
18Sunil Kim, Alexander V. Veidenbaum On Interaction between Interconnection Network Design and Latency Hiding Techniques in Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnection networks, prefetching, weak consistency
18Amir Averbuch, Boris Epstein 0002, Ludimila Ioffe, Irad Yavneh Efficient Parallelization of a Three-Dimensional Navier-Stokes Solver on MIMD Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF MPI, compressible, parallel implementation, Navier-Stokes equations, three-dimensional, turbulent flows
18Fong Pong, Michel Dubois 0001 Formal Automatic Verification of Cache Coherence in Multiprocessors with Relaxed Memory Models. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF relaxed memory consistency models, delayed consistency, symbolic state model, verification, Shared-memory multiprocessor
18Dinesh Kadamuddi, Jeffrey J. P. Tsai Clustering Algorithm for Parallelizing Software Systems in Multiprocessors Environment. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Parallel processing, distributed application, deadlock, clustering algorithm, synchronous communication, software environment
18Lisa Higham, Jalal Kawash Memory Consistency and Process Coordination for SPARC Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Sarah A. M. Talbot, Paul H. J. Kelly Adaptive Proxies: Handling Widely-Shared Data in Shared-Memory Multiprocessors (Research Note). Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Voon-Yee Vee, Wen-Jing Hsu Locality-preserving load-balancing mechanisms for synchronous simulations on shared-memory multiprocessors. Search on Bibsonomy PADS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Dimitrios S. Nikolopoulos, Theodore S. Papatheodorou Fast Synchronization on Scalable Cache-Coherent Multiprocessors using Hybrid Primitives. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF performance evaluation, synchronization, shared-memory, cache-coherence, scalable architectures
18Ravi R. Iyer 0001, Laxmi N. Bhuyan, Ashwini K. Nanda Using Switch Directories to Speed Up Cache-to-Cache Transfers in CC-NUMA Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Eladio Gutiérrez, Oscar G. Plata, Emilio L. Zapata A compiler method for the parallel execution of irregular reductions in scalable shared memory multiprocessors. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Dimitrios S. Nikolopoulos, Theodore S. Papatheodorou, Constantine D. Polychronopoulos, Jesús Labarta, Eduard Ayguadé User-Level Dynamic Page Migration for Multiprogrammed Shared-Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Marcelo H. Cintra, José F. Martínez, Josep Torrellas Architectural support for scalable speculative parallelization in shared-memory multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18José M. Claver Parallel Wavefront Algorithms Solving Lyapunov Equations for the Cholesky Factor on Message Passing Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF linear matrix equations, triangular linear systems, message passing mutiprocessors, wavefront algorithms, Control theory, Lyapunov equations
18Franck Cappello, Olivier Richard Performance Characteristics of a Network of Commodity Multiprocessors for the NAS Benchmarks Using a Hybrid Memory Model. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Cluster of Multiprocessor, Hybrid memory model, NAS NPB 2.3 benchmark, Performance Evaluation, Hardware Performance Counters
18Murthy Durbhakula, Vijay S. Pai, Sarita V. Adve Improving the Accuracy vs. Speed Tradeoff for Simulating Shared-Memory Multiprocessors with ILP Processors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Maged M. Michael, Ashwini K. Nanda Design and Performance of Directory Caches for Scalable Shared Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Kinshuk Govil, Dan Teodosiu 0002, Yongqiang Huang 0002, Mendel Rosenblum Cellular Disco: resource management using virtual clusters on shared-memory multiprocessors. Search on Bibsonomy SOSP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Eduardo Pinheiro, Ricardo Bianchini Nomad: A Scalable Operating System for Clusters of Uni and Multiprocessors. Search on Bibsonomy IWCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Load Balancing, Operating Systems, Clusters of Workstations
18Angeles G. Navarro, Rafael Asenjo, Emilio L. Zapata, David A. Padua Access Descriptor Based Locality Analysis for Distributed-Shared Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Array Reference Descriptor, Data Locality Analysis, Locality-Communication Graph, Parallelizing Compilers, Distributed-Shared Memory Systems
18Voon-Yee Vee, Wen-Jing Hsu A Scalable and Efficient Storage Allocator on Shared Memory Multiprocessors. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Parallel storage allocators, minimization of lock contention, scalable parallel algorithms
18Tarek S. Abdelrahman, Thomas N. Wong Compiler Support for Array Distribution on NUMA Shared Memory Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF locality management, NUMA multi-processors, parallelizing compilers, data distribution, cache management
18Todd C. Mowry Tolerating Latency in Multiprocessors Through Compiler-Inserted Prefetching. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF prefetching, compiler optimization
18Dick H. J. Epema Decay-Usage Scheduling in Multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF decay usage, control, convergence, shares, priorities
18Kathryn S. McKinley A Compiler Optimization Algorithm for Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF parallelization techniques, performance evaluation, data locality, program optimization, Program parallelization, restructuring compilers
18Juan Carlos Gomez, Edward Mascarenhas, Vernon Rego The CLAM Approach to Multithreaded Communication on Shared-Memory Multiprocessors: Design and Experiments. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF User-level protocols, scheduling, multimedia, multiprocessor, message-passing, shared-memory, threads
18Zhihong Lu, Kathryn S. McKinley, Brendon Cahoon The Hardware/Software Balancing Act for Information Retrieval on Symmetric Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Vivek Sarkar Optimized Execution of Fortran 90 Array Language on Symmetric Shared-Memory Multiprocessors. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF array language, parallelization, compilers, code optimization, scalarization
18Vijayaraghavan Soundararajan, Mark A. Heinrich, Ben Verghese, Kourosh Gharachorloo, Anoop Gupta, John L. Hennessy Flexible Use of Memory for Replication/Migration in Cache-Coherent DSM Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Ben Verghese, Anoop Gupta, Mendel Rosenblum Performance Isolation: Sharing and Isolation in Shared-Memory Multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18David Kotz Disk-Directed I/O for MIMD Multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF disk-directed I/O, parallel I/O, MIMD, parallel file system, collective I/O, file caching
18Richard Fujimoto, Maria Hybinette Computing Global Virtual Time in Shared-Memory Multiprocessors. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Pedro Trancoso, Josep Lluís Larriba-Pey, Zheng Zhang 0001, Josep Torrellas The Memory Performance of DSS Commercial Workloads in Shared-Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Toru Kisuki, Masaki Wakabayashi, Junji Yamamoto, Keisuke Inoue, Hideharu Amano Shared vs. Snoop: Evaluation of Cache Structure for Single-Chip Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Rohit Chandra, Ding-Kai Chen, Robert Cox, Dror E. Maydan, Nenad Nedeljkovic, Jennifer-Ann M. Anderson Data Distribution Support on Distributed Shared Memory Multiprocessors. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Angeles G. Navarro, Emilio L. Zapata, Yunheung Paek, David A. Padua Compiler Techniques for Effective Communication on Distributed-Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Parallelization, Compiler, Data Distribution, Communication Analysis
18Yosef Gavriel Tirat-Gefen, Diógenes Cecilio da Silva Jr., Alice C. Parker Incorporating Imprecise Computation into System-Level Design of Application-Specific Heterogeneous Multiprocessors. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Soon Myoung Chung, Jaerheen Yang A Parallel Distributive Join Algorithm for Cube-Connected Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cube-connected processors, performance analysis, parallel processing, hashing join, Distributive join
18Chiung-San Lee, Tai-Ming Parng A Subsystem-Oriented Performance Analysis Methodology for Shared-Bus Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Bottleneck analysis, DMA transfer, separated address bus and data bus, shared-bus multiprocessor system, subsystem access time modeling, subsystem interferences, performance analysis
18Stefan Dalibor, A. Hein, Wolfgang Hohl Application-Dependent Performability Evaluation of Fault-Tolerant Multiprocessors. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reconfiguration policies, simulated fault injection, performability analysis, workload modeling
18Sangyeun Cho, Gyungho Lee Reducing Coherence Overhead in Shared-Bus Multiprocessors. Search on Bibsonomy Euro-Par, Vol. II The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18José Duato, Manuel P. Malumbres Optimal Topology for Distributed Shared-Memory Multiprocessors: Hypercubes Again? Search on Bibsonomy Euro-Par, Vol. I The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Jörg Keller 0001, Thomas Rauber, Bernd Rederlechner Conservative Circuit Simulation on Shared-Memory Multiprocessors. Search on Bibsonomy Workshop on Parallel and Distributed Simulation The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiprefix operation, speedup estimation, shared memory multiprocessor, parallel random access machine (PRAM), circuit simulation, conservative simulation
18Andrew Sohn, Mitsuhisa Sato, Namhoon Yoo, Jean-Luc Gaudiot Effects of Multithreading on Data and Workload Distribution for Distributed-Memory Multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Jörn Altmann, András Pataricza, Tamás Bartha, Péter Urbán, A. Petri Constraint Based System-Level Diagnosis of Multiprocessors. Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Santhosh Kumaran, Michael J. Quinn Automatic Exploitation of Dual Level Parallelism on a Network of Multiprocessors. Search on Bibsonomy HPDC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dual level parallelism, multiprocessor workstation network, problem oriented approach, hybrid processing environment, parallel programming, computer networks, multiprocessing systems, granularity, scientific application, algorithm design, software environment, high performance distributed computing
18Christine Morin, Alain Gefflaut, Michel Banâtre, Anne-Marie Kermarrec COMA: An Opportunity for Building Fault-Tolerant Scalable Shared Memory Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Scalable Shared, fault-tolerance, coherence protocol, backward error recovery
18Lynn Choi, Pen-Chung Yew Compiler and Hardware Support for Cache Coherence in Large-Scale Multiprocessors: Design Considerations and Performance Study. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Jyh-Herng Chow, Leonard E. Lyon, Vivek Sarkar Automatic parallelization for symmetric shared-memory multiprocessors. Search on Bibsonomy CASCON The full citation details ... 1996 DBLP  BibTeX  RDF
18Hwang-Cheng Wang, Kai Hwang 0001 Multicoloring of Grid-Structured PDE Solvers on Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PDE solvers, memory access conflicts, cache saturation, multiprocessor performance, Parallel processing, conjugate gradient methods, sparse matrix, multicoloring
Displaying result #401 - #500 of 3723 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license