The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculation with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1994 (18) 1995-1996 (15) 1997 (15) 1998 (24) 1999 (38) 2000 (41) 2001 (37) 2002 (34) 2003 (53) 2004 (56) 2005 (56) 2006 (44) 2007 (46) 2008 (54) 2009 (49) 2010 (49) 2011 (39) 2012 (26) 2013 (26) 2014 (30) 2015 (30) 2016 (35) 2017 (19) 2018 (17) 2019 (42) 2020 (32) 2021 (43) 2022 (28) 2023 (35) 2024 (4)
Publication types (Num. hits)
article(268) incollection(7) inproceedings(738) phdthesis(21) proceedings(1)
Venues (Conferences, Journals, ...)
ISCA(34) CoRR(33) MICRO(28) HPCA(25) ASPLOS(23) IPDPS(21) IEEE PACT(18) ICS(17) ICCD(15) IEEE Trans. Computers(15) LCPC(15) NeSp-NLP@ACL(15) PPoPP(15) PLDI(14) CGO(13) DAC(13) More (+10 of total 434)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 811 occurrences of 404 keywords

Results
Found 1035 publication records. Showing 1035 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Gururaj Saileshwar, Moinuddin K. Qureshi CleanupSpec: An "Undo" Approach to Safe Speculation. Search on Bibsonomy MICRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Peinan Li, Lutan Zhao, Rui Hou 0001, Lixin Zhang 0002, Dan Meng Conditional Speculation: An Effective Approach to Safeguard Out-of-Order Execution Against Spectre Attacks. Search on Bibsonomy HPCA The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Kevin Cheang, Cameron Rasmussen, Sanjit A. Seshia, Pramod Subramanyan A Formal Approach to Secure Speculation. Search on Bibsonomy CSF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Elena Sergeeva, Henghui Zhu, Amir Tahmasebi, Peter Szolovits Neural Token Representations and Negation and Speculation Scope Detection in Biomedical and General Domain Text. Search on Bibsonomy LOUHI@EMNLP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Thomas D. Dickerson, Eric Koskinen, Paul Gazzillo, Maurice Herlihy Conflict Abstractions and Shadow Speculation for Optimistic Transactional Objects. Search on Bibsonomy APLAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Xiaojing Shang, Ming Ling, Shan Shen, Tianxiang Shao, Jun Yang 0006 RRS cache: a low voltage cache based on timing speculation SRAM with a reuse-aware cacheline remapping mechanism. Search on Bibsonomy MEMSYS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Bowen Kong, Wenn-Chieh Tsai, Rung-Huei Liang Confabulation Radio: Reflexive Speculation in Counterfactual Soundscape. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Robert Soden, Michael Skirpan, Casey Fiesler, Zahra Ashktorab, Eric P. S. Baumer, Mark Blythe, Jasmine Jones CHI4EVIL: Creative Speculation on the Negative Impacts of HCI Research. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Yung-Ju Chang, Yi-Ju Chung, Yi-Hao Shih I Think It's Her: Investigating Smartphone Users' Speculation about Phone Notifications and Its Influence on Attendance. Search on Bibsonomy MobileHCI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Audrey Desjardins, Cayla Key, Heidi R. Biggs, Kelsey Aschenbeck Bespoke Booklets: A Method for Situated Co-Speculation. Search on Bibsonomy Conference on Designing Interactive Systems The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Liang-Yu Chen, Ji-Hong Huang, Yu-Hao Lee, Chia-Hsu Huang, Rung-Huei Liang A World Following Farmer Almanac: Speculation on Lifestyle Interweaving Folk Religion and Smart Home. Search on Bibsonomy Conference on Designing Interactive Systems (Companion Volume) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Daiki Matsunaga, Atsushi Nunome, Hiroaki Hirata Shelving a Code Block for Thread-Level Speculation. Search on Bibsonomy SNPD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Gianpiero Cabodi, Paolo Camurati, Fabrizio F. Finocchiaro, Danilo Vendraminetto Model Checking Speculation-Dependent Security Properties: Abstracting and Reducing Processor Models for Sound and Complete Verification. Search on Bibsonomy C2SI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Christos Sakalis, Mehdi Alipour, Alberto Ros 0001, Alexandra Jimborean, Stefanos Kaxiras, Magnus Själander Ghost loads: what is the cost of invisible speculation? Search on Bibsonomy CF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Shuo Ji, Yinliang Zhao, Qing Yi Accelerating parallel graph computing with speculation. Search on Bibsonomy CF The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Wei-Chih Chen, Shu-Chun Yang, Yu-Nan Shih, Wen-Hung Huang, Chien-Chun Tsai, Kenny Cheng-Hsiang Hsieh A 56Gb/s PAM-4 Receiver with Voltage Pre-Shift CTLE and 10-Tap DFE of Tap-1 Speculation in 7nm FinFET. Search on Bibsonomy VLSI Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Kleovoulos Kalaitzidis, André Seznec Value Speculation through Equality Prediction. Search on Bibsonomy ICCD The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Chul Bae Lee Shared, Subscribed & Supernatural Surface, Surfable - Speculation and Strategy. Search on Bibsonomy ISS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Heitor Alvelos, Abhishek Chatterjee Anti-Clockwiser: A listening session on ARTECH participants' early memories of now-obsolete media, and a speculation on their possible roles in contemporaneity. Search on Bibsonomy ARTECH The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Bin Liu, Hao Yang, Yuancheng Li, Yuxiang Li, Dangdang Niu, Zhiming Lv An Improved Programming Model for Thread-Level Speculation. Search on Bibsonomy ISPA/BDCloud/SocialCom/SustainCom The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Khaled N. Khasawneh, Esmaeil Mohammadian Koruyeh, Chengyu Song, Dmitry Evtyushkin, Dmitry Ponomarev 0001, Nael B. Abu-Ghazaleh SafeSpec: Banishing the Spectre of a Meltdown with Leakage-Free Speculation. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Thomas Shull, Jian Huang 0006, Josep Torrellas QuickCheck: using speculation to reduce the overhead of checks in NVM frameworks. Search on Bibsonomy VEE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Thomas D. Dickerson Adapting Persistent Data Structures for Concurrency and Speculation. Search on Bibsonomy 2019   RDF
16Sai Charan Koduru, Keval Vora, Rajiv Gupta 0001 Software Speculation on Caching DSMs. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Juan Salamanca 0001, José Nelson Amaral, Guido Araujo Using Hardware-Transactional-Memory Support to Implement Thread-Level Speculation. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Chung-Han Chou, Tsui-Yun Chang, Kai-Chiang Wu, Shih-Chieh Chang Sensor-Based Time Speculation in the Presence of Timing Variability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Khaled N. Khasawneh, Esmaeil Mohammadian Koruyeh, Chengyu Song, Dmitry Evtyushkin, Dmitry Ponomarev 0001, Nael B. Abu-Ghazaleh SafeSpec: Banishing the Spectre of a Meltdown with Leakage-Free Speculation. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Esmaeil Mohammadian Koruyeh, Khaled N. Khasawneh, Chengyu Song, Nael B. Abu-Ghazaleh Spectre Returns! Speculation Attacks using the Return Stack Buffer. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Spyros Galanis Speculation under unawareness. Search on Bibsonomy Games Econ. Behav. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Xue Ouyang, Peter Garraghan, Bernhard Primas, David McKee 0001, Paul Townend, Jie Xu 0007 Adaptive Speculation for Efficient Internetware Application Execution in Clouds. Search on Bibsonomy ACM Trans. Internet Techn. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Arthur Perais, André Seznec Cost effective speculation with the omnipredictor. Search on Bibsonomy PACT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Esmaeil Mohammadian Koruyeh, Khaled N. Khasawneh, Chengyu Song, Nael B. Abu-Ghazaleh Spectre Returns! Speculation Attacks using the Return Stack Buffer. Search on Bibsonomy WOOT @ USENIX Security Symposium The full citation details ... 2018 DBLP  BibTeX  RDF
16Mohamed M. Saad, Roberto Palmieri, Binoy Ravindran Lerna: Parallelizing Dependent Loops Using Speculation. Search on Bibsonomy SYSTOR The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Selin Insel, Oguz Turan Buruk, Mehmet Cengiz Onbasli, Oguzhan Özcan Snowflakes: A Design Speculation for a Modular Prototyping Tool for Rapidly Designing Smart Wearables. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Alix Gerber Participatory speculation: futures of public safety. Search on Bibsonomy PDC (2) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Yafeng Ren, Hao Fei 0001, Qiong Peng Detecting the Scope of Negation and Speculation in Biomedical Texts by Using Recursive Neural Network. Search on Bibsonomy BIBM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Deqing Bu, Yaobin Wang, Ling Li, Zhiqin Liu, Wenxin Yu, Manasah Musariri Exploring Parallelism in MiBench with Loop and Procedure Level Speculation. Search on Bibsonomy ISPA/IUCC/BDCloud/SocialCom/SustainCom The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Zhongmiao Li, Peter Van Roy, Paolo Romano 0002 Transparent speculation in geo-replicated transactional data stores. Search on Bibsonomy HPDC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Jaehwan Lee, Byungjoon Yoo, Moonkyoung Jang Is a Blockchain-Based Game a Game for Fun, or Is It a Tool for Speculation? An Empirical Analysis of Player Behavior in Crypokitties. Search on Bibsonomy WEB The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Hana Jamali, Omar Bencharef, Abdellah Nabaji, Khalid El Housni, Zahra Asebriy Improving Regression Models Using Simulated Annealing for Stock Market Speculation. Search on Bibsonomy WorldCIST (1) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Gokul Subramanian Ravi, Mikko H. Lipasti Timing Speculation in Multi-Cycle Data Paths. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Jan Kasper Martinsen, Håkan Grahn, Anders Isberg Combining thread-level speculation and just-in-time compilation in Google's V8 JavaScript engine. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Markéta Dolejsová, Tereza Lisková StreetSauce: nurturing speculation in service design. Search on Bibsonomy Interactions The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Samuel González López, Aurelio López-López, Jesús Miguel García Gorrostieta, Daniel Alfredo Hernández Carrasco Coverage, Opinion and Speculation: Key Features Analyzed by TURET 2.0. Search on Bibsonomy Res. Comput. Sci. The full citation details ... 2017 DBLP  BibTeX  RDF
16Milan B. Radulovic, Sylvain Girbal, Milo Tomasevic Low-level implementation of the SISC protocol for thread-level speculation on a multi-core architecture. Search on Bibsonomy Parallel Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Huansong Fu, Haiquan Chen, Yue Zhu 0002, Weikuan Yu FARMS: Efficient mapreduce speculation for failure recovery in short jobs. Search on Bibsonomy Parallel Comput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16R. Leena Sri, N. Balaji 0001 Speculation based Decision Support System for Efficient Resource Provisioning in Cloud Data Center. Search on Bibsonomy Int. J. Comput. Intell. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Oguz Turan Buruk, Oguzhan Özcan User Oriented Design Speculation and Implications for an Arm-Worn Wearable Device for Table-Top Role-Playing Games. Search on Bibsonomy HCI (19) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Francesca Valsecchi, Roberta Tassi, Elena Kilina A First Speculation on Cultural Experiments as Design Research Methods. Search on Bibsonomy HCI (11) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Katherine Cheng, Timothy Baldwin, Karin Verspoor Automatic Negation and Speculation Detection in Veterinary Clinical Text. Search on Bibsonomy ALTA The full citation details ... 2017 DBLP  BibTeX  RDF
16Jeff Jun Zhang, Siddharth Garg BandiTS: Dynamic timing speculation using multi-armed bandit based optimization. Search on Bibsonomy DATE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Qiong Wang, Jialong Wang, Li Shen 0007, Zhiying Wang 0003 A Software-Hardware Co-designed Methodology for Efficient Thread Level Speculation. Search on Bibsonomy CIT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Juan Salamanca 0001, José Nelson Amaral, Guido Araujo Performance Evaluation of Thread-Level Speculation in Off-the-Shelf Hardware Transactional Memories. Search on Bibsonomy Euro-Par The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Zhongmiao Li, Peter Van Roy, Paolo Romano 0002 Exploiting speculation in partially replicated transactional data stores. Search on Bibsonomy SoCC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Saïd Achchab 0001, Omar Bencharef, Aziz Ouaarab A Combination of Regression Techniques and Cuckoo Search Algorithm for FOREX Speculation. Search on Bibsonomy WorldCIST (1) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Peng Jiang 0004, Gagan Agrawal Combining SIMD and Many/Multi-core Parallelism for Finite State Machines with Enumerative Speculation. Search on Bibsonomy PPoPP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Kyungmin Lee Improving Usability of Mobile Applications Through Speculation and Distraction Minimization. Search on Bibsonomy 2017   RDF
16Barnaby Craggs Information bias and trust in bitcoin speculation. Search on Bibsonomy 2017   RDF
16Prasanthi Rathnala Power efficient and power attacks resistant system design and analysis using aggressive scaling with timing speculation. Search on Bibsonomy 2017   RDF
16Noa P. Cruz Díaz, Maite Taboada, Ruslan Mitkov A machine-learning approach to negation and speculation detection for sentiment analysis. Search on Bibsonomy J. Assoc. Inf. Sci. Technol. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Hung-Lin Chao, Sheng-Ya Tung, Pao-Ann Hsiung Dynamic Task Mapping with Congestion Speculation for Reconfigurable Network-on-Chip. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Li Shen 0007, Fan Xu, Zhiying Wang 0003 Optimization Strategies Oriented to Loop Characteristics in Software Thread Level Speculation Systems. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Ron Wakkary, William Odom, Sabrina Hauser, Garnet D. Hertz, Henry W. J. Lin A short guide to material speculation: actual artifacts for critical inquiry. Search on Bibsonomy Interactions The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Naga Durga Prasad Avirneni, Prem Kumar Ramesh, Arun K. Somani Managing contamination delay to improve Timing Speculation architectures. Search on Bibsonomy PeerJ Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Sergio Aldea, Alvaro Estebanez, Diego R. Llanos, Arturo González-Escribano An OpenMP Extension that Supports Thread-Level Speculation. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Ivo Anjo, João P. Cachopo Design of a Method-Level Speculation framework for boosting irregular JVM applications. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Panagiota Fatourou, Eleni Kanellou, Eleftherios Kosmas, Md Forhad Rabbi WFR-TM: Wait-free readers without sacrificing speculation of writers. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Martin R. Stytz Presence and Nat Durlach: A Look Back and Speculation Forward. Search on Bibsonomy Presence Teleoperators Virtual Environ. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Alvaro Estebanez, Diego R. Llanos, Arturo González-Escribano A Survey on Thread-Level Speculation Techniques. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Constantin Mellios, Pierre Six, Anh Ngoc Lai Dynamic speculation and hedging in commodity futures markets with a stochastic convenience yield. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Naga Durga Prasad Avirneni, Prem Kumar Ramesh, Arun K. Somani Managing contamination delay to improve Timing Speculation architectures. Search on Bibsonomy PeerJ Prepr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Shaodian Zhang, Tian Kang, Xingting Zhang, Dong Wen 0003, Noémie Elhadad, Jianbo Lei Speculation detection for Chinese clinical notes: Impacts of word segmentation and embedding models. Search on Bibsonomy J. Biomed. Informatics The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Bowei Zou, Guodong Zhou, Qiaoming Zhu Research on Chinese negation and speculation: corpus annotation and identification. Search on Bibsonomy Frontiers Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yunfei Cui, Xiaojin Wu, Ye Dai, Xiao Cheng, Gang Guo 基于推测的无响应任务自适应容错调度算法 (Adaptive Fault-tolerant Scheduling Algorithm for Unresponsive Task Based on Speculation). Search on Bibsonomy 计算机科学 The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Paraskevas Yiapanis, Gavin Brown 0001, Mikel Luján Compiler-Driven Software Speculation for Thread-Level Parallelism. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Junqiao Qiu, Zhijia Zhao 0001, Bin Ren MicroSpec: Speculation-Centric Fine-Grained Parallelization for FSM Computations. Search on Bibsonomy PACT The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Rengarajan Ragavan, Cédric Killian, Olivier Sentieys Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Xiaowen Wang, William H. Robinson A Dual-Threshold Voltage Approach for Timing Speculation in CMOS Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Clark Verbrugge, Christopher J. F. Pickett, Alexander Krolik, Allan Kielstra Exhaustive analysis of thread-level speculation. Search on Bibsonomy SEPS@SPLASH The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Lukas Stadler, Adam Welc, Christian Humer, Mick Jordan Optimizing R language execution via aggressive speculation. Search on Bibsonomy DLS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Guowei Zhang 0002, Virginia Chiu, Daniel Sánchez 0003 Exploiting semantic commutativity in hardware speculation. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Tamara Silbergleit Lehman, Andrew D. Hilton, Benjamin C. Lee PoisonIvy: Safe speculation for secure memory. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Clemens Hammacher, Kevin Streit, Andreas Zeller, Sebastian Hack Thread-level speculation with kernel support. Search on Bibsonomy CC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Zhen Cao, Clark Verbrugge Reducing memory buffering overhead in software thread-level speculation. Search on Bibsonomy CC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Jiayin Wang, Teng Wang, Zhengyu Yang, Ningfang Mi, Bo Sheng eSplash: Efficient speculation in large scale heterogeneous computing systems. Search on Bibsonomy IPCCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Juan Salamanca 0001, José Nelson Amaral, Guido Araujo Evaluating and Improving Thread-Level Speculation in Hardware Transactional Memories. Search on Bibsonomy IPDPS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Maria Skeppstedt, Carita Paradis, Andreas Kerren Marker Words for Negation and Speculation in Health Records and Consumer Reviews. Search on Bibsonomy SMBM The full citation details ... 2016 DBLP  BibTeX  RDF
16Marco C. Rozendaal, Marie L. Heidingsfelder, Frank Kupper Exploring embodied speculation in participatory design and innovation. Search on Bibsonomy PDC (2) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yaobin Wang, Hong An, Zhiqin Liu, Ling Li, Jun Huang 0005 A Flexible Chip Multiprocessor Simulator Dedicated for Thread Level Speculation. Search on Bibsonomy Trustcom/BigDataSE/ISPA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Yemao Xu, Jialong Wang, Yanhong Liu, Li Shen 0007 Fast Task Submission in Software Thread Level Speculation Systems. Search on Bibsonomy Trustcom/BigDataSE/ISPA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Zhong Qian, Peifeng Li, Qiaoming Zhu, Guodong Zhou, Zhunchen Luo, Wei Luo Speculation and Negation Scope Detection via Convolutional Neural Networks. Search on Bibsonomy EMNLP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Angel F. Garcia Contreras, Martine Ceberio Comparison of strategies for solving global optimization problems using speculation and interval computations. Search on Bibsonomy NAFIPS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Kshitij Bhardwaj, Steven M. Nowick Achieving lightweight multicast in asynchronous networks-on-chip using local speculation. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Atif Yasin, Jeff Jun Zhang, Hu Chen, Siddharth Garg, Sanghamitra Roy, Koushik Chakraborty Synergistic timing speculation for multi-threaded programs. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Pär Holmberg, Bert Willems Relaxing competition through speculation: Committing to a negative supply slope. Search on Bibsonomy J. Econ. Theory The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yu-Jane Liu, Zheng Zhang, Longkai Zhao Speculation Spillovers. Search on Bibsonomy Manag. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Kyungmin Lee, David Chu, Eduardo Cuervo, Johannes Kopf 0001, Alec Wolman, Yury Degtyarev, Sergey Grizan, Jason Flinn Outatime: Using Speculation to Enable Low-Latency Continuous Interaction for Mobile Cloud Gaming. Search on Bibsonomy GetMobile Mob. Comput. Commun. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Krishna M. Kavi, Charles Shelor, Domenico Pace Concurrency, Synchronization, and Speculation - The Dataflow Way. Search on Bibsonomy Adv. Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yinan Sun, Yongpan Liu, Zhibo Wang 0004, Huazhong Yang Multistage Function Speculation Adders. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xiaoqi Ren, Ganesh Ananthanarayanan, Adam Wierman, Minlan Yu Speculation-aware Cluster Scheduling. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1035 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license