The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VLSI-DAT"( http://dblp.L3S.de/Venues/VLSI-DAT )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vlsi-dat

Publication years (Num. hits)
2012 (92) 2013 (94) 2014 (83) 2015 (87) 2017 (68) 2018 (71) 2019 (70) 2020 (61) 2021 (32) 2022 (50)
Publication types (Num. hits)
inproceedings(698) proceedings(10)
Venues (Conferences, Journals, ...)
VLSI-DAT(708)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 708 publication records. Showing 708 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ching-Che Chung, Duo Sheng, Chen-Han Chen An all-digital phase-locked loop compiler with liberty timing files. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chen-Yi Lee, Kelvin Yi-Tse Lai, Shu-Yu Hsu Event-driven read-out circuits for energy-efficient sensor-SoC's. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yanbing Wang, Hong Wang, Deyong Meng, Bingqin Zhou Oscillation-based diagnosis by using harmonics analysis on analog filters. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Po-Hsun Wu, Che-Wen Chen, Chi-Ruo Wu, Tsung-Yi Ho Triangle-based process hotspot classification with dummification in EUVL. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chetan Prasad Advanced CMOS reliability challenges. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Subhasish Mitra, Pradip Bose, Eric Cheng, Chen-Yong Cher, Hyungmin Cho, Rajiv V. Joshi, Young Moon Kim, Charles R. Lefurgy, Yanjing Li, Kenneth P. Rodbell, Kevin Skadron, James H. Stathis, Lukasz G. Szafaryn The resilience wall: Cross-layer solution strategies. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tsu-Wei Tseng, Chang-Tzu Lin, Chia-Hsin Lee, Yung-Fa Chou, Ding-Ming Kwai A power delivery network (PDN) engineering change order (ECO) approach for repairing IR-drop failures after the routing stage. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Joseph Sankman, Minkyu Song, Dongsheng Ma 0001 A 40-MHz current-mode hysteretic controlled switching converter with digital push-pull current pumping technique for high performance microprocessors. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kun-Chih Chen, Huai-Ting Li, An-Yeu Andy Wu LMS-based adaptive temperature prediction scheme for proactive thermal-aware three-dimensional Network-on-Chip systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chih-Chien Lin, Wen-Hao Liu, Yih-Lang Li Skillfully diminishing antenna effect in layer assignment stage. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chih-Hao Lin, Chih-Cheng Hsieh, Che-Chun Lin, Ren-Jr Chen A dual-mode CMOS image sensor for optical wireless communication. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shien-Chun Luo, Chi-Ray Huang, Lih-Yih Chiou An ultra-low-power adaptive-body-bias control for subthreshold circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Janak Porwal, Sanket Diwale, Vinay B. Y. Kumar, Sachin B. Patkar Projective Geometry and precedence constraint based application mapping on multicore network-on-chip systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Goel, Min-Jer Wang, Saman Adham, Ashok Mehta, Frank Lee Design-for-diagnosis: Your safety net in catching design errors in known good dies in CoWoSTM/3D ICs. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jean-Pierre Raskin SOI technology: An opportunity for RF designers? Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seok-Hee Lee Scaling trends and challenges of advanced memory technology. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yi-Hang Chen, Yi-Ting Chen, Juinn-Dar Huang Two-staged parallel layer-aware partitioning for 3D designs. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Franz Dielacher, Marc Tiebout, Rudolf Lachner, Herbert Knapp, Klaus Aufinger, Willy Sansen SiGe BiCMOS technology and circuits for active safety systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rong-Zhou Kuo, Hao-Chiao Hong A 17-nW, 0.5V, 500S/s, rail-to-rail SAR ADC with 8.1 effective number of bits. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nick Cheng Highly integrated 4G front end modules for handset applications - A designer's perspective. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei Zhang 0078, Yizhi Han, Fei Chen 0006, Bo Zhou 0008, Xican Chen, Woogeun Rhee, Zhihua Wang 0001 A 3.5-4GHz FMCW radar transceiver design with phase-domain oversampled ranging by utilizing a 1-bit ΔΣ TDC. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Bumman Kim Bias adapted operation of CMOS PA for handset application. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1James P. Young, Nick Cheng Multimode multiband power amplifier optimization for mobile applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yin-Chi Peng, Chien-Chih Chen, Chia-Jung Chang, Tien-Fu Chen, Pen-Chung Yew Cross-layer dynamic prefetching allocation strategies for high-performance multicores. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Federico A. Altolaguirre, Ming-Dou Ker Ultra-low-leakage power-rail ESD clamp circuit in a 65-nm CMOS technology. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tanya Nigam CMOS reliability: From discrete device degradation to circuit aging. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yen-Lung Chen, Yi-Ching Ding, Yu-Ching Liao, Hsin-Ju Chang, Chien-Nan Jimmy Liu A layout-aware automatic sizing approach for retargeting analog integrated circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hongyi Wang, Xi Hu, Quanfeng Liu, Gangdong Zhao, Dongzhe Luo A novel on-chip current-sensing structure for current-mode DC-DC converter. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chun-Hung Lai, Yun-Chung Yang, Ing-Jer Huang A versatile data cache for trace buffer support. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Laurent Le-Pailleur FDSOI: A differentiator for application processors in consumer and mobile markets. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Atsushi Shirane, Hiroyuki Ito, Noboru Ishihara, Kazuya Masu A process-scalable RF transmitter using 90nm and 65nm Si CMOS. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Cosette Y. H. Lin, Ryan H.-M. Huang, Charles H.-P. Wen, Austin C.-C. Chang Aging-aware statistical soft-error-rate analysis for nano-scaled CMOS designs. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hui-Wen Tsai, Ming-Dou Ker, Yi-Sheng Liu, Ming-Nan Chuang Analysis and solution to overcome EOS failure induced by latchup test in a high-voltage integrated circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shingo Yamanouchi, Kazuaki Kunihiro, Shinichi Hori, Masao Ikekawa, Naoki Nishi RF and signal processing technologies for 4G mobile networks. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vida Ilderem M2M: Challenges and opportunities. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chia-Yu Yao, Yung-Hsiang Ho A fast-locking wide-range all-digital delay-locked loop with a starting SAR-bit prediction mechanism. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ta-Kan Yen, Hsien-Kai Kuo, Bo-Cheng Charles Lai A distributed thread scheduler for dynamic multithreading on throughput processors. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chih-Ting Yeh, Ming-Dou Ker Area-efficient power-rail ESD clamp circuit with SCR device embedded into ESD-transient detection circuit in a 65nm CMOS process. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ching-Da Chan, Wei-Chang Liu, Chia-Hsiang Yang, Shyh-Jye Jou Power and area reduction in multi-stage addition using operand segmentation. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ryohei Hori, Taisuke Ueoka, Taku Otani, Masaya Yoshikawa, Takeshi Fujino The implementation of DES circuit on via-programmable structured ASIC architecture VPEX3. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1R. Yuan, Shanq-Jang Ruan, Jürgen Götze A practical NoC design for parallel DES computation. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chen-Chien Lin, Chan-Hsiang Weng, Tsung-Hsien Lin A low-power dual-mode continuous-time delta-sigma modulator with a folded quantizer. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Do-Gyoon Song, Jaeha Kim A low-power high-radix switch fabric based on low-swing signaling and partially-activated input lines. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shing-Yu Chen, Ming-Yi Hsiao, Wen-Ben Jone, Tien-Fu Chen A configurable bus-tracer for error reproduction in post-silicon validation. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kunzhi Yu, Xuqiang Zheng, Ke Huang 0003, Xuan Ma, Ziqiang Wang, Chun Zhang, Zhihua Wang 0001 A 6.4 Gb/s source synchronous receiver core with variable offset equalizer in 65nm CMOS. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zong-Han Yang, Tsung-Yi Ho Timing-aware clock gating of pulsed-latch circuits for low power design. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jui-Chieh Liao, Wei-Yeh Shih, Kuan-Ju Huang, Wai-Chi Fang An online recursive ICA based real-time multichannel EEG system on chip design with automatic eye blink artifact rejection. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bill Penner Multi-processor debug in SoC and processor designs. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Junya Matsuno, Masahiro Hosoya, Masanori Furuta, Tetsuro Itakura A 3-GS/s 5-bit Flash ADC with wideband input buffer amplifier. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ted Chang In and out of the cloud. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chih-Sheng Hou, Jin-Fu Li 0001, Chih-Yen Lo, Ding-Ming Kwai, Yung-Fa Chou, Cheng-Wen Wu An FPGA-based test platform for analyzing data retention time distribution of DRAMs. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hsien-Hsin Sean Lee The quest for a new dimension of system integration. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rodd Novak UltraCMOS® technology for high-performance switch paths and tunable components. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chen-Hsiang Hsu, Shi-Yu Huang, Ding-Ming Kwai, Yung-Fa Chou Worst-case IR-drop monitoring with 1GHz sampling rate. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tsung-Ching Lin, Shin-Kai Chen, Chih-Wei Liu A low-error and Rom-free logarithmic arithmetic unit for embedded 3D graphics applications. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chen-Yu Wang, Jhih-Sian Guo, Chi-Yuan Huang, Chien-Hung Tsai A high effieciency DC/DC boost regulator with adaptive off/on-time control. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sying-Jyan Wang, Yu-Siao Chen, Katherine Shu-Min Li Low-cost testing of TSVs in 3D stacks with pre-bond testable dies. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jeong-Tyng Li Design challenges for analog & mixed signal designs. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chung-Ming Huang, Wei-Da Guo, Chia-Re Shen, Chih-Chung Tsai Silicon-package-board co-design for the eye diagram prediction of a 3Gbps HDMI transmitter. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Pei-Chia Patty Lin, Evason Du, Ren-Song Tsay A fast and accurate instruction-oriented processor simulation approach. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yun-Chih Tsai, Tai-Hung Li, Tai-Chen Chen, Chung-Wei Yeh Electromigration- and obstacle-avoiding routing tree construction. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yun-Shiang Shu, Jui-Yuan Tsai, Ping Chen, Tien-Yu Lo, Pao-Cheng Chiu A background calibration technique for fully dynamic flash ADCs. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Thomas Lee Terahertz electronics: Opportunities, challenges and technologies. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chin-Yu Lin, Tai-Cheng Lee Jitter error cancellation technique in digital domain for ADC. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gennadi Bersuker Microscopic degradation models for advanced technology. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hsien-Ching Hsieh, Shr-Je Lin, Chun-Nan Liu, Jen-Chieh Yeh, Shing-Wu Tung, Ding-Ming Kwai A case study: 3-D stacked memory system architecture exploration by ESL virtual platform. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Min Tan 0004, Wing-Hung Ki Current-mirror miller compensation: An improved frequency compensation technique for two-stage amplifiers. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hung-Chang Yu, Ku-Feng Lin, Kai-Chun Lin, Yu-Der Chih, Sreedhar Natarajan A 180 MHz direct access read 4.6Mb embedded flash in 90nm technology operating under wide range power supply from 2.1V to 3.6V. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Po-An Tsai, Yu-Hsin Kuo, En-Jui Chang, Hsien-Kai Hsin, An-Yeu Wu Hybrid path-diversity-aware adaptive routing with latency prediction model in Network-on-Chip systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kuan-Hung Chen Reducing computation redundancy for high-efficiency view synthesis. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jui-Sheng Lee, Yuan-Hsiang Miao, Cheng-An Chien, Hsiu-Cheng Chang, Jiun-In Guo A view scalable multi-view video decoder system. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Eric Chang, Frankie Liu, Philip Amberg, Jon K. Lexau, Ron Ho Efficient techniques for canceling transceiver noise. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ching-Che Chung, Duo Sheng, Wei-Siang Su A 0.5V/1.0V fast lock-in ADPLL for DVFS battery-powered devices. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jia-Hua Hong, Ming-Chun Liang, Jing-Yi Wong, Shuenn-Yuh Lee A low-power design methodology for sigma-delta modulators with relaxation of required circuit specifications. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chang-Tzu Lin, Tsu-Wei Tseng, Yung-Fa Chou, Chia-Hsin Lee, Ding-Ming Kwai Enabling inter-die co-optimization in 3-D IC with TSVs. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chung-Han Chou, Nien-Yu Tsai, Hao Yu 0001, Yiyu Shi 0001, Jui-Hung Chien, Shih-Chieh Chang On the futility of thermal through-silicon-vias. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Lei Wang 0070, Chun-Huat Heng, Yong Lian 0001 A sub-GHz mostly digital impulse radio UWB transceiver for wireless body sensor networks. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chang-Ming Lai, Yi-Chung Chen, Po-Chiun Huang Time-domain analog-to-digital converters with domino delay lines. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shyue-Kung Lu, Uang-Chang Lu, Seng-Wen Pong, Hao-Cheng Cheng Efficient test and repair architectures for 3D TSV-based random access memories. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yu-Jie Fu, Guan-Lin Wu, Shao-Yi Chien Real-time salient object detection engine for high definition videos. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wenhui Zhao, Grantham K. H. Pang, Ngai Wong Automatic adaptive multi-point moment matching for descriptor system model order reduction. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jiann-Ching Guey To 4G mobile communication and beyond. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Makiko Ito, Mitsuru Tomono, Yi Ge, Yoshimasa Takebe, Masahiko Toichi, Makoto Mouri, Yoshio Hirose A novel processor design flow using processor description language applied to a vector coprocessor. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 2013 International Symposium on VLSI Design, Automation, and Test, VLSI-DAT 2013, Hsinchu, Taiwan, April 22-24, 2013 Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  BibTeX  RDF
1Barry P. Linder, Eduard Cartier, S. Krishnan, Ernest Y. Wu Improving and optimizing reliability in future technologies with high-κ dielectrics. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ching-Che Chung, Chang-Jun Li A low-power delay-recycled all-digital duty-cycle corrector with unbalanced process variations tolerance. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Guo-An Jian, Jui-Sheng Lee, Kheng-Joo Tan, Peng-Sheng Chen, Jiun-In Guo A real-time parallel scalable video encoder for multimedia streaming systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Caleb Y.-S. Cho, J. C. Wang, Lion Huang, Milo Weng, Yu-Fan Lin, Chia-Fu Lee, C. W. Lien, H. C. Feng, Tassa Yang, S. P. Liao, J. J. Wu, Yu-Der Chih, Sreedhar Natarajan A 55-nm, 0.86-Volt operation, 75MHz high speed, 96uA/MHz low power, wide voltage supply range 2M-bit split-gate embedded Flash. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yi-Keng Hsieh, Hsieh-Hung Hsieh, Liang-Hung Lu A wideband programmable-gain amplifier for 60GHz applications in 65nm CMOS. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shu-Han Wei, Yu-Min Lee, Chia-Tung Ho, Chih-Ting Sun, Liang-Chia Cheng Power delivery network design for wiring and TSV resource minimization in TSV-based 3-D ICs. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ting-Wei Chiang, Chia-Hung Liu, Juinn-Dar Huang Graph-based optimal reactant minimization for sample preparation on digital microfluidic biochips. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bo-Ting Yeh, Chun-Hung Yang, Kai-Cheung Juang, Chien-Hung Tsai Sensorless dead-time exploration for digitally controlled switching converters. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ting-Zi Chen, Soon-Jyh Chang, Guan-Ying Huang A successive approximation ADC with resistor-capacitor hybrid structure. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Diego Olego Innovations in healthcare and semiconductor progress. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chin-Yu Lin, Yen-Chuan Huang, Tai-Cheng Lee Analysis of the leakage effect in a pipelined ADC with nanoscale CMOS technologies. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kun-Chih Chen, Shu-Yen Lin, An-Yeu Wu Design of thermal management unit with vertical throttling scheme for proactive thermal-aware 3D NoC systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mu-Hsuan Chuang, Yi-Hao Lo, Bo-Yi Wu, Yuan-Hao Huang MIMO fingerprinting-based particle filter for mobile positioning systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jiun-Lang Huang, Kun-Han Tsai, Yu-Ping Liu, Ruifeng Guo, Manish Sharma, Wu-Tung Cheng Improve speed path identification with suspect path expressions. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shen-Fu Hsiao, Po-Han Wu, Chia-Sheng Wen, Li-Yao Chen Design of a programmable vertex processor in OpenGL ES 2.0 mobile graphics processing units. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wen Chen 0016, Li-C. Wang, Jayanta Bhadra, Magdy S. Abadir Novel test analysis to improve structural coverage - A commercial experiment. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 708 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license