The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for caches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1987 (17) 1988 (19) 1989 (24) 1990 (15) 1991 (18) 1992 (31) 1993 (30) 1994 (39) 1995 (49) 1996 (53) 1997 (68) 1998 (59) 1999 (102) 2000 (95) 2001 (95) 2002 (144) 2003 (210) 2004 (208) 2005 (219) 2006 (214) 2007 (225) 2008 (198) 2009 (159) 2010 (108) 2011 (73) 2012 (78) 2013 (94) 2014 (97) 2015 (69) 2016 (89) 2017 (87) 2018 (77) 2019 (85) 2020 (57) 2021 (67) 2022 (65) 2023 (35) 2024 (8)
Publication types (Num. hits)
article(849) incollection(4) inproceedings(2475) phdthesis(52)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2974 occurrences of 1216 keywords

Results
Found 3381 publication records. Showing 3380 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Naveen Davanam, Byeong Kil Lee Towards Smaller-Sized Cache for Mobile Processors Using Shared Set-Associativity. Search on Bibsonomy ITNG The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, caches, computer architecture
14Enrico Mezzetti, Tullio Vardanega Towards a Cache-Aware Development of High Integrity Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF software architectures, caches, Timing analysis, WCET
14Georgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras Where replacement algorithms fail: a thorough analysis. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF replacement/placement policies/algorithms, profiling, memory system, last-level caches
14Abel G. Silva-Filho, Cristiano C. de Araújo A methodology for tuning two-level cache hierarchy considering energy and performance. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF exploration mechanism, two-level caches, embedded systems, system-on-chip, low power design, memory hierarchy
14Han Wan, Xiaopeng Gao, Xiang Long, Zhiqiang Wang GCSim: A GPU-Based Trace-Driven Simulator for Multi-level Cache. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel algorithms, caches, GPGPU, CUDA, trace-driven simulation
14Kapil Anand, Rajeev Barua Instruction cache locking inside a binary rewriter. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache locking, embedded systems, caches, binary rewriting
14Han Wan, Xiaopeng Gao, Zhiqiang Wang, Yi Li Using GPU to Accelerate Cache Simulation. Search on Bibsonomy ISPA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF algorithms, caches, GPGPU, CUDA, trace-driven simulation
14Doe Hyun Yoon, Mattan Erez Flexible cache error protection using an ECC FIFO. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, error correction, soft error, last-level caches
14Anshul Gupta, Seid Koric, Thomas George Sparse matrix factorization on massively parallel computers. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, error correction, soft error, last-level caches
14Abhishek Bhattacharjee, Margaret Martonosi Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel tbb, thread criticality prediction, parallel processing, caches, dvfs
14Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David M. Brooks Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF caches, process variation, variability, dynamic memory
14Bingsheng He, Qiong Luo 0001 Cache-oblivious databases: Limitations and opportunities. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache-conscious, chip multiprocessors, data caches, simultaneous multithreading, Cache-oblivious
14Emre Özer 0001, Ronald G. Dreslinski, Trevor N. Mudge, Stuart Biles, Krisztián Flautner Energy-Efficient Simultaneous Thread Fetch from Different Cache Levels in a Soft Real-Time SMT Processor. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Real-time, Energy Efficiency, Caches, Embedded Processors, SMT
14Jonathan Weinberg, Allan Snavely Accurate memory signatures and synthetic address traces for HPC applications. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synthetic memory traces, caches, locality, hpc
14Arun Kejariwal, Alexandru Nicolau, Utpal Banerjee, Alexander V. Veidenbaum, Constantine D. Polychronopoulos Cache-aware iteration space partitioning. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF load balancing, caches
14Ioana Burcea, Stephen Somogyi, Andreas Moshovos, Babak Falsafi Predictor virtualization. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF predictor virtualization, caches, metadata, memory hierarchy
14Arne Maus, Stein Gjessing A Model for the Effect of Caching on Algorithmic Efficiency in Radix based Sorting. Search on Bibsonomy ICSEA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache friendly algorithms, caches, sorting, cache models, radix
14Arun Kejariwal, Gerolf Hoflehner, Darshan Desai, Daniel M. Lavery, Alexandru Nicolau, Alexander V. Veidenbaum Comparative characterization of SPEC CPU2000 and CPU2006 on Itanium architecture. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SPEC CPU benchmarks, performance evaluation, caches, branch prediction
14David K. Tam, Reza Azimi, Michael Stumm Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache behavior, detecting sharing, performance monitoring unit, single-chip multiprocessors, thread placement, resource allocation, CMP, multithreading, sharing, SMP, simultaneous multithreading, SMT, shared caches, cache locality, thread scheduling, thread migration, hardware performance monitors, hardware performance counters, affinity scheduling
14Nian-Feng Tzeng Routing Table Partitioning for Speedy Packet Lookups in Scalable Routers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF line cards, prefix matching search, routing table lookups, Caches, interconnects, routers, tries, forwarding engines
14Nathaniel McIntosh, Sandya Mannarswamy, Robert Hundt Whole-program optimization of global variable layout. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler-directed memory management, global variable layout, data caches
14Dayong Gu, Clark Verbrugge, Etienne M. Gagnon Relative factors in performance analysis of Java virtual machines. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Java, performance analysis, caches, garbage collection, hardware counters
14André Seznec, Roger Espasa Conflict-Free Accesses to Strided Vectors on a Banked Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Vector microprocessor, strided vectors, conflict free access, L2 caches
14Xiaogang Qiu, Michel Dubois 0001 Moving Address Translation Closer to Memory in Distributed Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic address translation, virtual-address caches, simulations, Multiprocessors, distributed shared memory, virtual memory
14Wei Zhang 0002, Mahmut T. Kandemir, Mustafa Karaköy, Guangyu Chen Reducing data cache leakage energy using a compiler-based approach. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF array-intensive applications, pointer-intensive applications, data caches, energy optimization, Compiler analysis
14Jayaram Mudigonda, Harrick M. Vin, Raj Yavatkar Managing memory access latency in packet processing. Search on Bibsonomy SIGMETRICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multithreading, network processors, data-caches
14Malik Silva Sparse matrix storage revisited. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sparse matrix storage, spatial and temporal locality, caches, memory wall, sparse matrix computations
14Raksit Ashok, Saurabh Chheda, Csaba Andras Moritz Coupling compiler-enabled and conventional memory accessing for energy efficiency. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF translation buffers, virtually addressed caches, Energy efficiency
14Zhiyuan Li 0001, Yonghong Song Automatic tiling of iterative stencil loops. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Caches, optimizing compilers, loop transformations
14Florin Isaila, Guido Malpohl, Vlad Olaru, Gabor Szeder, Walter F. Tichy Integrating collective I/O and cooperative caching into the "clusterfile" parallel file system. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF non-contiguous I/O, parallel I/O, parallel file systems, cooperative caches, collective I/O
14Rajeev Balasubramonian Cluster prefetch: tolerating on-chip wire delays in clustered microarchitectures. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF communication-bound processors, effective address and memory dependence prediction, processor, data prefetch, distributed caches, clustered microarchitectures
14Rajeev Balasubramonian, David H. Albonesi, Alper Buyuktosunoglu, Sandhya Dwarkadas A Dynamically Tunable Memory Hierarchy. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF High performance microprocessors, energy and performance of on-chip caches, memory hierarchy, reconfigurable architectures
14Rodric M. Rabbah, Krishna V. Palem Data remapping for design space optimization of embedded memory systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data remapping, embedded systems, caches, memory hierarchy, Design space exploration, compiler optimization, memory subsystem
14Jim Nilsson, Anders Landin, Per Stenström The Coherence Predictor Cache: A Resource-Efficient and Accurate Coherence Prediction Infrastructure. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF coherence message prediction, memory overhead, caches, Shared-memory multiprocessors
14Wei Zhang 0002, Mustafa Karaköy, Mahmut T. Kandemir, Guangyu Chen A compiler approach for reducing data cache energy. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data caches, energy optimization, compiler analysis
14Yunheung Paek, Angeles G. Navarro, Emilio L. Zapata, Jay P. Hoeflinger, David A. Padua An Advanced Compiler Framework for Non-Cache-Coherent Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF array privatization, noncoherent caches, Put/Get, compiler, multiprocessors, dependence analysis, shared-memory programming
14Yan Solihin, Josep Torrellas, Jaejin Lee Using a User-Level Memory Thread for Correlation Prefetching. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF intelligent memory, correlation prefetching, caches, computer architecture, memory hierarchies, threads, data prefetching, processing-in-memory
14Wei-Fen Lin, Steven K. Reinhardt, Doug Burger Designing a Modern Memory Hierarchy with Hardware Prefetching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Rambus DRAM, caches, Prefetching, memory bandwidth, spatial locality, memory system design
14Sanjay J. Patel, Steven Lumetta rePLay: A Hardware Framework for Dynamic Optimization. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF High-performance microarchitecture, dynamic optimization, trace caches
14Bruce L. Jacob, Trevor N. Mudge Uniprocessor Virtual Memory without TLBs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF virtual address translation, virtual caches, software-managed address translation, memory management, Virtual memory, translation lookaside buffers
14Nathan T. Slingerland, Alan Jay Smith Cache performance for multimedia applications. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CPU caches, mulitmedia, simulation, cache, trace driven simulation
14Chris M. Roadknight, Ian W. Marshall, Debbie Vearer File popularity characterisation. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF file popularity, WEB, web caches
14Nancy M. Amato, Jack Perdue, Mark M. Mathis, Andrea Pietracaprina, Geppino Pucci Predicting Performance on SMPs. A Case Study: The SGI Power Challenge. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Caches, Performance Modeling, Memory Hierarchy, SMPs
14Chung-Ho Chen, Arun K. Somani Fault Containment in Cache Memories for TMR Redundant Processor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF error detection and recovery, Caches, transient faults, fault-containment, redundant systems
14Dimitris Nikolos, Haridimos T. Vergos On the Yield of VLSI Processors with On-Chip CPU Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF on-chip CPU caches, partially good chips, Fault tolerance, yield enhancement
14Mahmut T. Kandemir, J. Ramanujam, Alok N. Choudhary Improving Cache Locality by a Combination of Loop and Data Transformation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF loop and data transformations, Caches, locality, optimizing compilers, data reuse
14Chi-Keung Luk, Todd C. Mowry Automatic Compiler-Inserted Prefetching for Pointer-Based Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF pointer-based applications, performance evaluation, Caches, prefetching, compiler optimization, shared-memory multiprocessors, recursive data structures
14John Kalamatianos, Alireza Khalafi, David R. Kaeli, Waleed Meleis Analysis of Temporal-Based Program Behavior for Improved Instruction Cache Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF program reordering, graph pruning, graph coloring, Instruction caches, temporal locality, conflict misses
14Zhiyuan Li 0001 Reducing Cache Conflicts by Partitioning and Privatizing Shared Arrays. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF set conflicts, array privatization, caches, shared-memory multiprocessors, Optimizing compilers
14Yonghong Song, Zhiyuan Li 0001 New Tiling Techniques to Improve Cache Temporal Locality. Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF caches, optimizing compilers, loop transformations
14Stefan M. Petters, Georg Färber Making Worst Case Execution Time Analysis for Hard Real-Time Tasks on State of the Art Processors Feasible. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF WCET analyses, caches, hard real-time, control flow analysis, automated measurement
14Brian R. Fisk, R. Iris Bahar The Non-Critical Buffer: Using Load Latency Tolerance to Improve Data Cache Efficiency. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Algorithms, Architecture, Caches
14Fredrik Dahlgren, Michel Dubois 0001, Per Stenström Performance Evaluation and Cost Analysis of Cache Protocol Extensions for Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF competitive-update protocols, write caches, performance evaluation, prefetching, Shared-memory multiprocessors, cache-coherence protocols
14Josep Torrellas, Chun Xia, Russell L. Daigle Optimizing the Instruction Cache Performance of the Operating System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF code layout optimization, instruction caches, Cache miss rates
14Hiroyuki Tomiyama, Tohru Ishihara, Akihiko Inoue, Hiroto Yasuura Instruction Scheduling for Power Reduction in Processor-Based System Design. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Caches, Low-Power Design, Instruction Scheduling
14Jude A. Rivers, Edward S. Tam, Gary S. Tyson, Edward S. Davidson, Matthew K. Farrens Utilizing Reuse Information in Data Cache Management. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF effective address, multi-lateral caches, program counter
14François Bodin, André Seznec Skewed Associativity Improves Program Performance and Enhances Predictability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF numeric kernels, loop blocking, skewed-associative caches, Cache, predictable performance
14Lars Bækgaard, Leo Mark Incremental Computation of Set Difference Views. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF incremental view computation, view pointer caches, Set differences
14Richard Uhlig, Trevor N. Mudge Trace-Driven Memory Simulation: A Survey. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory simulation, caches, memory management, trace-driven simulation, TLBs
14Jude A. Rivers, Gary S. Tyson, Edward S. Davidson, Todd M. Austin On High-Bandwidth Data Cache Design for Multi-Issue Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Locality-Based Interleaving, Multiporting, High-Bandwidth Data Supply, Multi-Bank Caches
14James D. Allen, David E. Schimmel Issues in the Design of High Performance SIMD Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF MasPar, caches, pipelining, SIMD, data parallel
14Dimitris Nikolos, Haridimos T. Vergos On the Yield of VLSI Processors with on-chip CPU Cache. Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Indexing terms On-chip CPU caches, Partially good chips, Fault Tolerance, Yield Enhancement
14Rafael H. Saavedra, Alan Jay Smith Measuring Cache and TLB Performance and Their Effect on Benchmark Runtimes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF execution time prediction, processor caches, table lookaside buffers, Performance evaluation, memory hierarchy
14Lars Bækgaard, Leo Mark Incremental Computation of Time-Varying Query Expressions. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Time-varying queries, incremental query computation, predicate caches, superviews, temporal databases, temporal data
14Daniel H. Linder, James C. Harden Access Graphs: A Model for Investigating Memory Consistency. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF access pipelining, synchronization, caches, computer architecture, computer architectures, synchronisation, shared memory systems, memory consistency, massively parallel systems
14Anders Adlemo, Sven-Arne Andréasson Fault tolerance in partitioned manufacturing networks. Search on Bibsonomy J. Syst. Integr. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF quasi-partitioning, fault tolerance, Caches, fault diagnosis, fault detection, distributed computing systems, network partitioning, dynamic configuration, computer integrated manufacturing
14Masaru Takesue Cache Memories for Data Flow Machines. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF data flow machines, dataflow caches, cache block replacement, cache memories, memory architecture, buffer storage, register transfer level simulator
14Richard A. Floyd, Carla Schlatter Ellis Directory Reference Patterns in Hierarchical File Systems. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF file opening, file use, local file systems, hierarchical file systems, directory reference patterns, 4.2BSD UNIX system, name lookup overhead, name resolution overhead, disk blocks, IRU, caches, locality, distributed databases, environment, distributed file systems, file organisation, nodes, paths, data handling, least recently used
14Kimming So, Rudolph N. Rechtschaffen Cache Operations by MRU Change. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF MRU change, most recently used, prefetch algorithms, performance evaluation, performance, storage management, CPU, content-addressable storage, virtual storage, replacement algorithms, memory access, cache simulation, set associative caches
14Lucien M. Censier, Paul Feautrier A New Solution to Coherence Problems in Multicache Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF nonstore-through, Caches, multiprocessor systems, memory hierarchy, coherence
13João Vieira, Nuno Roma, Gabriel Falcão 0001, Pedro Tomás NDPmulator: Enabling Full-System Simulation for Near-Data Accelerators From Caches to DRAM. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Wei Song 0002, Zihan Xue, Jinchi Han, Zhenzhen Li, Peng Liu 0005 Randomizing Set-Associative Caches Against Conflict-Based Cache Side-Channel Attacks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Ali Gholami, Kai Wan, Hua Sun 0001, Mingyue Ji, Giuseppe Caire Coded Caching With Private Demands and Caches. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Zafaryab Rasool, Scott Barnett, David Willie, Stefanus Kurniawan, Sherwin Balugo, Srikanth Thudumu, Mohamed Almorsy Abdelrazek LLMs for Test Input Generation for Semantic Caches. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Florian Mayer 0001, Julian Brandner, Michael Philippsen Employing polyhedral methods to optimize stencils on FPGAs with stencil-specific caches, data reuse, and wide data bursts. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Monolina Dutta, Anoop Thomas Decentralized coded caching for shared caches using erasure coding. Search on Bibsonomy Phys. Commun. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
13Daniel Lin-Kit Wong, Hao Wu, Carson Molder, Sathya Gunasekar, Jimmy Lu, Snehal Khandkar, Abhinav Sharma, Daniel S. Berger, Nathan Beckmann, Gregory R. Ganger Baleen: ML Admission & Prefetching for Flash Caches. Search on Bibsonomy FAST The full citation details ... 2024 DBLP  BibTeX  RDF
13Yazhuo Zhang, Juncheng Yang, Yao Yue, Ymir Vigfusson, K. V. Rashmi SIEVE is Simpler than LRU: an Efficient Turn-Key Eviction Algorithm for Web Caches. Search on Bibsonomy NSDI The full citation details ... 2024 DBLP  BibTeX  RDF
13Kevin Weston, Farabi Mahmud, Vahid Janfaza, Abdullah Muzahid SmartIndex: Learning to Index Caches to Improve Performance. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jieun Kim, Hyeonsang Eom, Yoonhee Kim Analyzing Data Locality on GPU Caches Using Static Profiling of Workloads. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Luís Fiolhais, Manuel Goulão, Leonel Sousa CoDi$: Randomized Caches Through Confusion and Diffusion. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Guojun Xiong, Shufan Wang, Gang Yan, Jian Li 0008 Reinforcement Learning for Dynamic Dimensioning of Cloud Caches: A Restless Bandit Approach. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Sheel Sindhu Manohar, Hemangee K. Kapoor CAPMIG: Coherence-Aware Block Placement and Migration in Multiretention STT-RAM Caches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Majid Jalili 0001, Mattan Erez Harvesting L2 Caches in Server Processors. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Gururaj Saileshwar, Moinuddin K. Qureshi The Mirage of Breaking MIRAGE: Refuting the HPCA-2023 Paper "Are Randomized Caches Truly Random?". Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Michael A. Bender, Rathish Das, Martin Farach-Colton, Guido Tagliavini An Associativity Threshold Phenomenon in Set-Associative Caches. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Mingi Yoo, Jaeyong Song, Hyeyoon Lee, Jounghoo Lee, Namhyung Kim, Youngsok Kim, Jinho Lee Slice-and-Forge: Making Better Use of Caches for Graph Convolutional Network Accelerators. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Murali Dadi, Shubhang Pandey, Aparna Behera, T. G. Venkatesh 0001 Performance Study of Partitioned Caches in Asymmetric Multi-Core Processors. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Anirban Chakraborty 0003, Sarani Bhattacharya, Sayandeep Saha, Debdeep Mukhopadhyay A short note on the paper 'Are Randomized Caches Really Random?'. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Maryam Babaie, Ayaz Akram, Jason Lowe-Power Enabling Design Space Exploration of DRAM Caches in Emerging Memory Systems. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Ziyue Deng, Alex Sim, Kesheng Wu, Chin Guok, Damian Hazen, Inder Monga, Fabio Andrijauskas, Frank Würthwein, Derek Weitzel Analyzing Transatlantic Network Traffic over Scientific Data Caches. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Tzu-Wei Yang, Seth Pollen, Mustafa Uysal, Arif Merchant, Homer Wolfmeister, Junaid Khalid CacheSack: Theory and Experience of Google's Admission Optimization for Datacenter Flash Caches. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Kanishak Vaidya, Balaji Sundar Rajan Multi-User PIR with Cyclic Wraparound Multi-Access Caches. Search on Bibsonomy Entropy The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Thomas Dangl, Stewart Sentanoe, Hans P. Reiser VMIFresh: Efficient and fresh caches for virtual machine introspection. Search on Bibsonomy Comput. Secur. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Abdul Jalil, Jun Kobayashi, Takeshi Saitoh Performance Improvement of Multi-Robot Data Transmission in Aggregated Robot Processing Architecture with Caches and QoS Balancing Optimization. Search on Bibsonomy Robotics The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Elizabath Peter, B. Sundar Rajan Multi-Antenna Coded Caching for Shared Caches With Arbitrary User-to-Cache Association. Search on Bibsonomy IEEE Commun. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Jan de Mooij, Matthew Gaudet, Iain Ireland, Nathan Henderson, José Nelson Amaral CacheIR: The Benefits of a Structured Representation for Inline Caches. Search on Bibsonomy MPLR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Tyler Estro, Mário Antunes 0001, Pranav Bhandari, Anshul Gandhi, Geoff Kuenning, Yifei Liu, Carl A. Waldspurger, Avani Wildani, Erez Zadok Guiding Simulations of Multi-Tier Storage Caches Using Knee Detection. Search on Bibsonomy MASCOTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Yijie Zhong, Zhirong Shen, Zixiang Yu, Jiwu Shu Redesigning High-Performance LSM-based Key-Value Stores with Persistent CPU Caches. Search on Bibsonomy ICDE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Thilo L. Fischer, Heiko Falk WCET Analysis of Shared Caches in Multi -Core Architectures using Event-Arrival Curves. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 3380 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license