The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cells with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1968 (15) 1969-1976 (17) 1977-1978 (15) 1979-1981 (24) 1982-1983 (23) 1984-1985 (31) 1986 (22) 1987 (28) 1988 (55) 1989 (31) 1990 (65) 1991 (60) 1992 (66) 1993 (72) 1994 (82) 1995 (120) 1996 (120) 1997 (148) 1998 (151) 1999 (215) 2000 (262) 2001 (274) 2002 (325) 2003 (382) 2004 (529) 2005 (655) 2006 (803) 2007 (782) 2008 (847) 2009 (534) 2010 (325) 2011 (315) 2012 (390) 2013 (435) 2014 (500) 2015 (550) 2016 (488) 2017 (501) 2018 (542) 2019 (585) 2020 (565) 2021 (534) 2022 (504) 2023 (482) 2024 (77)
Publication types (Num. hits)
article(5487) book(8) data(6) incollection(54) inproceedings(7837) phdthesis(152) proceedings(2)
Venues (Conferences, Journals, ...)
CoRR(410) PLoS Comput. Biol.(244) EMBC(215) Sensors(204) IEEE Trans. Comput. Aided Des....(198) IEEE Access(185) ISCAS(161) DAC(160) ISBI(150) J. Comput. Neurosci.(112) IEEE Trans. Very Large Scale I...(111) Biol. Cybern.(99) VTC Spring(95) ICRA(92) DATE(83) IROS(81) More (+10 of total 2363)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5150 occurrences of 2657 keywords

Results
Found 13546 publication records. Showing 13546 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Harsa Amylia Mat Sakim, Nuryanti Mohd Salleh, Mohd Rizal Arshad, Nor Hayati Othman Evaluation of Morphological Features for Breast Cells Classification Using Neural Networks. Search on Bibsonomy Tools and Applications with Artificial Intelligence The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Morphological features, Fine needle aspirates, Neural network, Classification, Breast cancer
16María Paula Bonomini, José Manuel Ferrández de Vicente, Joaquin Rueda, Eduardo Fernández 0001 Analysis of Retinal Ganglion Cells Population Responses Using Information Theory and Artificial Neural Networks: Towards Functional Cell Identification. Search on Bibsonomy IWINAC (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Ivan Rampl, Vladimír Boudný, Milan Cíz, Antonín Lojek, Pavel Hyrsl Pulse Vector Magnetic Potential and Its Influence on Live Cells. Search on Bibsonomy eTELEMED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Maik Hadorn, Bo Burla, Peter Eggenberger Hotz Towards Tailored Communication Networks in Assemblies of Artificial Cells. Search on Bibsonomy ACAL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Living Technology, glycerophospholipids, vesicles, multivesicular aggregates, adhesion plaque, programmability, phase transition, self-assembly
16Gabriel de Blasio, Roberto Moreno-Díaz Jr., Roberto Moreno-Díaz Analytical Representation of Intrinsic Directionality in Retinal Cells. Search on Bibsonomy EUROCAST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Florian Bauer, Georg Georgakos, Doris Schmitt-Landsiedel A Design Space Comparison of 6T and 8T SRAM Core-Cells. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Petr Matula, Anil Kumar 0006, Ilka Wörz, Nathalie Harder, Holger Erfle, Ralf Bartenschlager, Roland Eils, Karl Rohr Automated Analysis of siRNA Screens of Virus Infected Cells Based on Immunofluorescence Microscopy. Search on Bibsonomy Bildverarbeitung für die Medizin The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Mario H. Castañeda, Michel T. Ivrlac, Josef A. Nossek, Ingo Viering, Axel Klein Outdated Uplink Adaptation Due to Changes in the Scheduling Decisions in Interfering Cells. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Marc Rodríguez 0001, Gaëlle Largeteau-Skapin, Eric Andres Local Non-planarity of Three Dimensional Surfaces for an Invertible Reconstruction: k-Cuspal Cells. Search on Bibsonomy ISVC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Monica Marcuzzo, Pedro Quelhas, Ana Maria Mendonça, Aurélio C. Campilho Tracking of Arabidopsis thaliana root cells in time-lapse microscopy. Search on Bibsonomy ICPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Florence Cloppet, Arnaud Boucher Segmentation of overlapping/aggregating nuclei cells in biological images. Search on Bibsonomy ICPR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Anand Pillarisetti, Carol Keefer, Jaydev P. Desai Mechanical Response of Embryonic Stem Cells Using Haptics-Enabled Atomic Force Microscopy. Search on Bibsonomy ISER The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Davide Chiarugi, Pierpaolo Degano, Jan Bert Van Klinken, Roberto Marangoni Cells in Silico: A Holistic Approach. Search on Bibsonomy SFM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jae-sun Seo, Igor L. Markov, Dennis Sylvester, David T. Blaauw On the decreasing significance of large standard cells in technology mapping. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Ching-Te Huang, Cheng-Han Tsai, Chun-Ping Jen Effects of Supraphysiological Thermal Injury in Human Embryonic Kidney Cells. Search on Bibsonomy BMEI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Thermal Injury, supraphysiological temperatures
16Bertil R. R. Persson, Catrin Bauréus Koch, Gustav Grafström, Crister Ceberg, Per Munck af Rosenschöld, Bengt Widegren, Leif G. Salford Survival of Rats with N29 Brain Tumours after Irradiation with 5 or 15 Gy and Immunization with IFN-gamma Secreting Tumour Cells. Search on Bibsonomy BMEI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Immuno therapy, Radio therapy, Brain tumour, IFN-gamma
16Amit Goel, Sarma B. K. Vrudhula, Feroze Taraporevala, Praveen Ghanta A Methodology for Characterization of Large Macro Cells and IP Blocks Considering Process Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Po-Chi Huang, Yung-Kuan Chan, Po-Chou Chan, Yung-fu Chen, Rung Ching Chen, Yu-Ruei Huang Quantitative Assessment of Pap Smear Cells by PC-Based Cytopathologic Image Analysis System and Support Vector Machine. Search on Bibsonomy ICMB The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Julie S. Haas, Alan D. Dorval II, John A. White Contributions of I h to feature selectivity in layer II stellate cells of the entorhinal cortex. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Inward rectifier, Spike-triggered covariance, Single-neuron computation, Reliability, Principal component analysis, Information theory
16Andrew Bell Tuning the cochlea: wave-mediated positive feedback between cells. Search on Bibsonomy Biol. Cybern. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Il-Han Kim, Siwei Yang, Patricia Le Baccon, Edith Heard, Constantin Kappel, Roland Eils, Karl Rohr Non-rigid Temporal Alignment of 2D and 3D Multi-channel Microscopy Image Sequences of Human Cells. Search on Bibsonomy Bildverarbeitung für die Medizin The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Mohd Ridzuan Ahmad, Masahiro Nakajima, Seiji Kojima, Michio Homma, Toshio Fukuda Mechanical properties characterization of individual yeast cells using environment-SEM nanomanipulation system. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Sriram Subramaniam Determination of Protein Structures in Situ: Electron Tomography of Intact Viruses and Cells. Search on Bibsonomy ISBI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Il-Han Kim, Siwei Yang, Patricia Le Baccon, Edith Heard, Yi-Chun Chen, David L. Spector, Constantin Kappel, Roland Eils, Karl Rohr Non-Rigid Temporal Registration of 2d and 3d Multi-Channel Microscopy Image Sequences of Human Cells. Search on Bibsonomy ISBI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Dean P. McCullough, Prabhakar R. Gudla, Karen J. Meaburn, Amit Kumar, Michael R. Kuehn, Stephen J. Lockett 3d Segmentation of Whole Cells and Cell Nuclei in Tissue Using Dynamic Programming. Search on Bibsonomy ISBI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Radu Grosu, Sayan Mitra, Pei Ye, Emilia Entcheva, I. V. Ramakrishnan, Scott A. Smolka Learning Cycle-Linear Hybrid Automata for Excitable Cells. Search on Bibsonomy HSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Véronique Cariou, Jérôme Cubillé, Christian Derquenne, Sabine Goutier, Françoise Guisnel, Henri Klajnmic Built-In Indicators to Automatically Detect Interesting Cells in a Cube. Search on Bibsonomy DaWaK The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Olap Mining, Atypical Values, Test-value, Oracle 10g, Data Mining, OLAP, Data cube, Chi-Square
16Javier Baliosian, Rolf Stadler Decentralized Configuration of Neighboring Cells for Radio Access Networks. Search on Bibsonomy WOWMOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Kazunori Matsuyama, Motoki Amagasaki, Hideaki Nakayama, Ryoichi Yamaguchi, Masahiro Iida, Toshinori Sueyoshi Evaluating Variable-Grain Logic Cells Using Heterogeneous Technology Mapping. Search on Bibsonomy ARC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yen-Pin Chen, Jia-Wei Fang, Yao-Wen Chang ECO timing optimization using spare cells. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Kevin P. Twidle, Emil Lupu Ponder2 - Policy-Based Self Managed Cells. (PDF / PS) Search on Bibsonomy AIMS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yun Wang, Rao Zheng, Yanjiang Qiao Modeling, Learning and Simulating Biological Cells with Entity Grammar. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF entity grammar system, complex system, systems biology
16Bastien Giraud, Amara Amara, Andrei Vladimirescu A Comparative Study of 6T and 4T SRAM Cells in Double-Gate CMOS with Statistical Variation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Samik Ghosh, Preetam Ghosh, Kalyan Basu, Sajal K. Das 0001 Modeling the Stochastic Dynamics of Gene Expression in Single Cells: A Birth and Death Markov Chain Analysis. Search on Bibsonomy BIBM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Amol Mupid, Madhu Mutyam, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin Variation Analysis of CAM Cells. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Wolfgang Stürzl, Hanspeter A. Mallot, Alois C. Knoll An Analytical Model of Divisive Normalization in Disparity-Tuned Complex Cells. Search on Bibsonomy ICANN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jianbao Zhang, Zengrong Liu, Ying Li, Luonan Chen Frequency Synchronization of a Set of Cells Coupled by Quorum Sensing. Search on Bibsonomy LSMS (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16John K. Goutsias A Hidden Markov Model for Transcriptional Regulation in Single Cells. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF stochastic biochemical systems, stochastic dynamical systems, transcriptional regulatory systems, Hidden Markov models, Monte Carlo simulation, transcriptional regulation
16Peiliang Xu Voronoi Cells, Probabilistic Bounds, and Hypothesis Testing in Mixed Integer Linear Models. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Cyrus A. Wilson, Julie A. Theriot A Correlation-Based Approach to Calculate Rotation and Translation of Moving Cells. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Florence Gombert, Sylvain Baillet Functional brain mapping with high-temporal resolution: introducing "evolutionary activation cells". Search on Bibsonomy ISBI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Beate Neumann, Michael Held, Urban Liebel, Holger Erfle, Phil Rogers, Rainer Pepperkok, Jan Ellenberg Time-lapse microscopy-based genome wide RNAi screening in live human cells. Search on Bibsonomy ISBI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Nikolaos G. Bourbakis Recording, Monitoring and Interrelating Changes of Invivo Bio-cells from Video (Biosignatures). Search on Bibsonomy AIAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Mohammad Sharifkhani, Shah M. Jahinuzzaman, Manoj Sachdev Dynamic Data Stability in SRAM Cells and Its Implications on Data Stability Tests. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yibin Ye, Muhammad M. Khellah, Dinesh Somasekhar, Vivek De Evaluation of differential vs. single-ended sensing and asymmetric cells in 90 nm logic technology for on-chip caches. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Carlo Cattani, Paolo Mercorelli, Francesco Villecco, Klaus Harbusch A Theoretical Multiscale Analysis of Electrical Field for Fuel Cells Stack Structures. Search on Bibsonomy ICCSA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Jeeyeon Kim, Seungjoo Kim, Kilsoo Chun, Jaeil Lee, Dongho Won Group Key Agreement Protocol Among Mobile Devices in Different Cells. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mobile device, Group communication
16Venkat Rao Vallapenani, Ravi Shankar Chevuri, Bingxiong Xu, Lun Ye, Kanad Chakraborty Efficient Techniques for Noise Characterization of Sequential Cells and Macros. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Minoru Tsukada, Yoshiyuki Yamazaki Functional Differences Between the Spatio-temporal Learning Rule (STLR) and Hebb Type (HEBB) in Single Pyramidal Cells in the Hippocampal CA1 Area. Search on Bibsonomy ICONIP (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16George Steiner, Zhihui Xue Scheduling in Reentrant Robotic Cells: Algorithms and Complexity. Search on Bibsonomy J. Sched. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reentrant robotic cell, complexity, polynomial-time algorithm, makespan, cycle time
16Armin Wellig, Julien Zory Static Noise Margin Analysis of Sub-threshold SRAM Cells in Deep Sub-micron Technology. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Younggui Yoon, Jaewook Lee 0001 Solving Hard Local Minima Problems Using Basin Cells for Multilayer Perceptron Training. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Manfred Auer Electron Microscope Tomography of Cells and Tissues: Studying the 3D Structure of Molecular Machines at Molecular Resolution. Search on Bibsonomy CSB Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Kun-Hsien Lin, Ming-Dou Ker ESD protection design for I/O cells in sub-130-nm CMOS technology with embedded SCR structure. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Thomas Strösslin, Ricardo Chavarriaga, Denis Sheynikhovich, Wulfram Gerstner Modelling Path Integrator Recalibration Using Hippocampal Place Cells. Search on Bibsonomy ICANN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Naranker Dulay, Emil C. Lupu, Morris Sloman, Joe Sventek, Nagwa L. Badr, Steven Heeps Self-managed Cells for Ubiquitous Systems. Search on Bibsonomy MMM-ACNS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Lynda Dib, Zahia Guessoum, Noël Bonnet, Mohamed Tayeb Laskri Multi-agent System Simulating Tumoral Cells Migration. Search on Bibsonomy Australian Conference on Artificial Intelligence The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Chunsheng Liu, Krishnendu Chakrabarty Identification of error-capturing scan cells in scan-BIST with applications to system-on-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Kun Huang 0001, Allen Y. Yang, Wei Hong 0003, Yi Ma 0001 Large-baseline Matching and Reconstruction from Symmetry Cells. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Marcos Ferretti, Recep O. Ozdag, Peter A. Beerel High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada High speed layout synthesis for minimum-width CMOS logic cells via Boolean satisfiability. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Shalini Ghosh, Eric W. MacDonald, Sugato Basu, Nur A. Touba Low-power weighted pseudo-random BIST using special scan cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF weighted pseudo-random testing, low power, built-in self-test
16Herbert F. Jelinek, David Cornforth, Anthony J. Roberts, Gabriel Landini, Paul David Bourke, Antony W. Iorio Image Processing of Finite Size Rat Retinal Ganglion Cells Using Multifractal and Local Connected Fractal Analysis. Search on Bibsonomy Australian Conference on Artificial Intelligence The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Chunsheng Liu, Krishnendu Chakrabarty A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Vojislav Stojkovic, Grace Steele, William Lupton Using Easel for Modeling and Simulating the Interactions of Cells in Order to Better Understand the Basics of Biological Processes and to Predict Their Likely Behaviors. Search on Bibsonomy CSB The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Pamela Abshire, Jean-Marie Lauestein, Yingkai Liu, Elisabeth Smela Cell clinics for bioelectronic interface with single cells. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Qiang Xu 0001, Nicola Nicolici On Reducing Wrapper Boundary Register Cells in Modular SOC Testing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Christophe Zimmer, Elisabeth Labruyere, Vannary Meas-Yedid, Nancy Guillen, Jean-Christophe Olivo-Marin Improving Active Contours for Segmentation and Tracking of Motile Cells in Videomicroscopy. Search on Bibsonomy ICPR (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Kenneth M. Tubbs, David W. Embley Recognizing records from the extracted cells of microfilm tables. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2002 DBLP  DOI  BibTeX  RDF automated recognition of record patterns, geometric layout, microfilm tables, ontology matching
16Pietro Speroni di Fenizio, Peter Dittrich, Wolfgang Banzhaf Spontaneous Formation of Proto-cells in an Universal Artificial Chemistry on a Planar Graph. Search on Bibsonomy ECAL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Roger Brent Information processing by cells and biologists (abstract only). Search on Bibsonomy RECOMB The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Nicola Femia, Giovanni Spagnuolo, Massimo Vitelli Generalized invariant models for the analysis of soft-switching cells. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16G. Surendra, S. K. Nandy 0001, Paul Sathya ReDeEm_RTL: A Software Tool for Customizing Soft Cells for Embedded Applications. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Hongyuan Wang, Shenggen Zeng, Chengang Yu, Xiaogang Wang, Deshen Xia The Researches of Microscopic Image Segmentation and Recognition on the Cancer Cells Fallen into Peritoneal Effusion. Search on Bibsonomy MIAR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cell image segmentation, cell image recognition, peritoneal effusion, Artificial neural network, computer-aided diagnosis
16Ian O'Connor, Andreas Kaiser Automated synthesis of current-memory cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian An Approach to Minimize the Test Configuration for the Logic Cells of the Xilinx XC4000 FPGAs Family. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, test, ATPG, iterative testing
16Avaneendra Gupta, John P. Hayes CLIP: integer-programming-based optimal layout synthesis of 2D CMOS cells. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CMOS networks, circuit clustering, diffusion sharing, leaf cell synthesis, transistor chains, two-dimensional layout, integer programming, integer linear programming, layout optimization, module generation
16Chung-Chu Leung, Francis H. Y. Chan, Paul C. K. Kwok, Wufan Chen Thyroid Cancer Cells Boundary Location by a Fuzzy Edge Detection Method. Search on Bibsonomy ICPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Susumu Fujii, Hiroshi Morita, Takeshi Tanaka A basic study on autonomous characterization of square array machining cells for agile manufacturing. Search on Bibsonomy WSC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Cesar Augusto Cardoso Caetano, Liliane Ventura, Sidney J. F. Sousa, Roberto de Alencar Lotufo Identification and Segmentation of Cells in Images of Donated Corneas using Mathematical Morphology. Search on Bibsonomy SIBGRAPI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cell identification, donated corneas, optical evaluation method, endothelial cell counting, slit lamp, dedicated software, interactive counting method, image segmentation, mathematical morphology, correlation coefficient, eye
16Xiaohai Wu, Changge Qiao, Xianlong Hong Design and Optimization of Power/Ground Network for Cell-Based VLSIs with Macro Cells. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Cell-Based VLSIs, Macro Cell, Optimization, Power, Mesh, Branch-and-Bound
16Juan M. Arco, Agustín Martínez-Hellín, Bernardo Alarcos, Antonio García 0003, Daniel Meziat Carrying ATM Cells over Ethernet. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Tuna B. Tarim, Mohammed Ismail 0001 Linear Transconductors Using Low Voltage Low Power Square-Law Cmos Cells. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Avaneendra Gupta, John P. Hayes Near-Optimum Hierarchical Layout Synthesis of Two-Dimensional CMOS Cells. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Adriano M. Pereira, Tales Cleber Pimenta, Robson L. Moreno, Edgar Charry R., Alberto M. Jorge Design of a Measurement and Interface Integrated Circuit for Characterization of Switched Current Memory Cells. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF switched current, behaviour simulation, voltage-to-current converter
16Mehdi N. Shirazi, Yoshikazu Nishikawa A Computation Theory for Orientation-Selective Simple Cells Based on the MAP Estimation Principle and Markov Random Fields. Search on Bibsonomy IWANN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Gernot Kronreif, Robert Probst Modular Control System for Robotized Cells and Lines. Search on Bibsonomy EUROCAST The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Avaneendra Gupta, John P. Hayes Width minimization of two-dimensional CMOS cells using integer programming. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF leaf cell synthesis, two-dimensional layout, diffusion sharing, transistor chains, CMOS networks, Layout optimization, module generation
16Chao-Lieh Chen, Yau-Hwang Kuo Fuzzy Hardware Synthesis with Generic LR Fuzzy Cells. Search on Bibsonomy ANNES The full citation details ... 1995 DBLP  DOI  BibTeX  RDF generic LR fuzzy cell, parallel inference, fuzzy ASICs, general-purposed fuzzy processors, current mode analog technology, fuzzy reasoning
16Fernando Medeiro, Francisco V. Fernández 0001, Rafael Domínguez-Castro, Ángel Rodríguez-Vázquez A statistical optimization-based approach for automated sizing of analog cells. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Raffaele Costa, Francesco Curatelli, Daniele D. Caviglia, Giacomo M. Bisio Symbolic generation of constrained random logic cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Knut M. Just, Werner L. Schiele, Thomas Krüger Plowing: Modifying Cells and Routing 45: 9D - Layouts. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Jonathan Rose LocusRoute: A Parallel Global Router for Standard Cells. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
16Atreyi Chakraverti, Moon-Jung Chung Routing Algorithm for Gate Array Macro Cells. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
16Jun Igarashi, Katsumi Tateno, Kazuki Nakada, Tsutomu Miki, Yoshitaka Ohtubo, Kiyonori Yoshii A Chemical Sensor Array Inspired by Mouse Taste Buds. Search on Bibsonomy Brain-Inspired Information Technology The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Ron Weiss Synthetic biology: from modules to systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF genetic circuits, synthetic biology
16Tanuj Jindal, Charles J. Alpert, Jiang Hu, Zhuo Li 0001, Gi-Joon Nam, Charles B. Winn Detecting tangled logic structures in VLSI netlists. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF congestion prediction, rent rule, tangled logic, clustering
16Myongkeun Oh, Victor Matveev Loss of phase-locking in non-weakly coupled inhibitory networks of type-I model neurons. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Non-weak coupling, Non-synchronous dynamics, Inhibitory network, Type-I excitability, Synaptic inhibition, Leader switching, Spike-time response, Phase resetting, Synchronization
16Adrien Wohrer, Pierre Kornprobst Virtual Retina : A biological retina model and simulator, with contrast gain control. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Large-scale retina simulator, Contrast gain control, Spikes, Conductances
16Gunther H. Weber, Oliver Rübel, Min-Yu Huang, Angela H. DePace, Charless C. Fowlkes, Soile V. E. Keränen, Cris L. Luengo Hendriks, Hans Hagen, David W. Knowles, Jitendra Malik, Mark D. Biggin, Bernd Hamann Visual Exploration of Three-Dimensional Gene Expression Using Physical Views and Linked Abstract Views. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF three-dimensional gene expression, spatial expression patterns, physical views, multiple linked views, visualization, information visualization, brushing, Interactive data exploration, scatter plots
Displaying result #501 - #600 of 13546 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license