The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for designs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958 (39) 1959-1969 (19) 1970-1972 (28) 1973-1974 (31) 1975 (15) 1976 (29) 1977 (22) 1978 (25) 1979 (17) 1980 (29) 1981 (28) 1982 (29) 1983 (25) 1984 (36) 1985 (54) 1986 (68) 1987 (61) 1988 (93) 1989 (112) 1990 (132) 1991 (121) 1992 (130) 1993 (147) 1994 (176) 1995 (249) 1996 (247) 1997 (288) 1998 (357) 1999 (473) 2000 (522) 2001 (529) 2002 (678) 2003 (888) 2004 (1083) 2005 (1168) 2006 (1308) 2007 (1462) 2008 (1477) 2009 (957) 2010 (494) 2011 (326) 2012 (366) 2013 (398) 2014 (396) 2015 (355) 2016 (370) 2017 (420) 2018 (378) 2019 (427) 2020 (455) 2021 (466) 2022 (457) 2023 (468) 2024 (120)
Publication types (Num. hits)
article(7465) book(17) incollection(131) inproceedings(11238) phdthesis(193) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12478 occurrences of 5080 keywords

Results
Found 19048 publication records. Showing 19048 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Sumit Gupta, Nikil D. Dutt, Rajesh Gupta 0001, Alexandru Nicolau Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Jifeng He 0001, Zhiming Liu 0001, Xiaoshan Li, Shengchao Qin A Relational Model for Object-Oriented Designs. Search on Bibsonomy APLAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF UTP, Semantics, Object Orientation, Refinement
14Raymond A. Heald, Ping Wang Variability in sub-100nm SRAM designs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Jingcao Hu, Youngsoo Shin, Nagu R. Dhanwada, Radu Marculescu Architecting voltage islands in core-based system-on-a-chip designs. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiple VDD, low-power, floorplanning, system-on-a-chip, voltage island
14Baris Arslan, Ozgur Sinanoglu, Alex Orailoglu Extending the Applicability of Parallel-Serial Scan Designs. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Masahiro Fujita Formal Verification of C Language Based VLSI Designs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Han-Yu Chuang, Huai-Kuang Tsai, Cheng-Yan Kao Optimal Designs for Microarray Experiments. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Sangyoon Lee, Tian Chen, Jongseo Kim, Sungho Han, Zhigeng Pan, Gerard Jounghyun Kim Affective Property Evaluation of Virtual Product Designs. Search on Bibsonomy VR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Jon-Lark Kim, Vera Pless Designs in Additive Codes over GF(4). Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF additive code, Assmus-Mattson theorem, generalized t-design
14Thomas Beth, Chris Charnes, Markus Grassl, Gernot Alber, Aldo Delgado, Michael Mussinger A New Class of Designs Which Protect against Quantum Jumps. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Kenichiro Tanabe A Criterion for Designs in {\tf="P101461" Z}_4-codes on the Symmetrized Weight Enumerator. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Z 4-codes, Assmus-Mattson theorem, harmonic weight, enumerator
14Nguyen Truong Thang, Takuya Katayama Towards a Sound Modular Model Checking of Collaboration-Based Software Designs. Search on Bibsonomy APSEC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Yu-Chiun Lin, Shi-Yu Huang Chip-Level Diagnostic Strategy for Full-Scan Designs with Multiple Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Mark Ratcliffe 0001, Lynda Thomas, Wayne Ellis, Benjy J. Thomasson Capturing collaborative designs to assist the pedagogical process. Search on Bibsonomy ITiCSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF design capture, learning to program, pedagogy
14Russell C. H. Cheng, Owen D. Jones Issues on simulation and optimization II: robust hybrid designs for real-time simulation trials. Search on Bibsonomy WSC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14James Lin Design technology challenges for system and chip level designs in very deep submicron technologies. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Gregory Hornby Generative Representations for Evolving Families of Designs. Search on Bibsonomy GECCO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14William H. Kao, Wenkung K. Chu Noise constraint driven placement for mixed signal designs. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Ishtiaq Rasool Khan, Masahiro Okuda, Ryoji Ohba New designs of frequency selective FIR digital filters. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Adarsh K. Jain, Lin Yuan, Pushkin R. Pari, Gang Qu 0001 Zero overhead watermarking technique for FPGA designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF configuration bitstream, timing analyzer, user constraint file, zero overhead, performance, FPGA, place and route, IP protection
14Srivaths Ravi 0001, Anand Raghunathan, Srimat T. Chakradhar Efficient RTL Power Estimation for Large Designs. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Laurence Goodby, Alex Orailoglu, Paul M. Chau Microarchitectural synthesis of performance-constrained, low-power VLSI designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DSP datapath design, High-level synthesis, low-power design
14Amit R. Pandey, Janak H. Patel An Incremental Algorithm for Test Generation in Illinois Scan Architecture Based Designs. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Oswaldo Cadenas, Graham M. Megson Improving mW/MHz Ratio in FPGAs Pipelined Designs. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14David Bruce Adams, Layne T. Watson, Zafer Gürdal Blending of Composite Panel Designs Using Genetic Algorithms. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Vladimir Zolotov, David T. Blaauw, Supamas Sirichotiyakul, Murat R. Becer, Chanhee Oh, Rajendran Panda, Amir Grinshpon, Rafi Levy Noise propagation and failure criteria for VLSI designs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Resve A. Saleh, G. Lim, T. Kadowaki, K. Uchiyama Trends in Low Power Digital System-on-Chip Designs (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Low-Power CMOS, Design, SoC, Digital
14Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Chanhee Oh Noise Injection and Propagation in High Performance Designs. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Yun Shao 0002, Irith Pomeranz, Sudhakar M. Reddy Path Delay Fault Test Generation for Standard Scan Designs Using State Tuples. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Lionel C. Briand, Yvan Labiche, G. Soccar Automating Impact Analysis and Regression Test Selection Based on UML Designs. Search on Bibsonomy ICSM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Malay Haldar, Anshuman Nayak, Alok N. Choudhary, Prithviraj Banerjee Automated synthesis of pipelined designs on FPGAs for signal and image processing applications described in MATLAB. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF MATLAB
14Shi-Yu Huang Towards the logic defect diagnosis for partial-scan designs. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Franz Wotawa Using Multiple Models for Debugging VHDL Designs. Search on Bibsonomy IEA/AIE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Pauline C. Haddow, Piet van Remortel From Here To There : Future Robust Ehw Technologies For Large Digital Designs. Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Yves Le Traon, Farid Ouabdesselam, Chantal Robach Analyzing Testability on Data Flow Designs. Search on Bibsonomy ISSRE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Kiyoharu Hamaguchi, Hidekazu Urushihara, Toshinobu Kashiwabara Symbolic Checking of Signal-Transition Consistency for Verifying High-Level Designs. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Sujit Dey, Anand Raghunathan, Niraj K. Jha, Kazutoshi Wakabayashi Controller-based power management for control-flow intensive designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Peter G. Boyvalenkov, Danyo Danev, Svetla Nikova Nonexistence of Certain Spherical Designs of Odd Strengths and Cardinalities. Search on Bibsonomy Discret. Comput. Geom. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Nazanin Mansouri, Ranga Vemuri Accounting for Various Register Allocation Schemes During Post-Synthesis Verification of RTL Designs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Víctor A. Braberman, Miguel Felder Verification of Real-Time Designs: Combining Scheduling Theory with Automatic Formal Verification. Search on Bibsonomy ESEC / SIGSOFT FSE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Klaus Schneider 0001, Michaela Huhn, George Logothetis Validation of Object-Oriented Concurrent Designs by Model Checking. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Ching-Wei Yeh, Min-Cheng Chang, Yin-Shuin Kang Algorithms Promoting the Use of Dual Supply Voltages for Power-Driven Designs. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Svetla Nikova, Ventzislav Nikov Some Applications of Bounds for Designs to the Cryptography. Search on Bibsonomy IMACC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14HyungWon Kim 0001, John P. Hayes Delay Fault Testing of Designs with Embedded IP Cores. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Yiorgos Makris, Alex Orailoglu RTL Test Justification and Propagation Analysis for Modular Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF RTL testability analysis, test justification, test propagation, DFT, modular design
14Minako Sawaki, Norihiro Hagita Text-Line Extraction and Character Recognition of Document Headlines With Graphical Designs Using Complementary Similarity Measure. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF projection feature, displacement matching, OCR, Character recognition, adaptive thresholding, character segmentation
14Wen-Jong Fang, Allen C.-H. Wu Integrating HDL Synthesis and Partitioning for Multi-FPGA Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Udo Brockmeyer, Gunnar Wittich Real-Time Verification of Statemate Designs. Search on Bibsonomy CAV The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Yannis Smaragdakis, Don S. Batory Implementing Layered Designs with Mixin Layers. Search on Bibsonomy ECOOP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Dirk Stroobandt, Fadi J. Kurdahi On the Characterization of Multi-Point Nets in Electronic Designs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Multi-point nets, Net degree distribution, Interconnection complexity, Rent's rule
14Wayne Luk, Nabeel Shirazi, Peter Y. K. Cheung Compilation tools for run-time reconfigurable designs. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Tai-Hung Liu, Khurram Sajid, Adnan Aziz, Vigyan Singhal Optimizing Designs Containing Black Boxes. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Minako Sawaki, Norihiro Hagita, Kenichiro Ishii Robust Character Recognition of Gray-Scaled Images with Graphical Designs and Noise. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
14Miodrag Potkonjak, Sujit Dey, Rabindra K. Roy Behavioral synthesis of area-efficient testable designs using interaction between hardware sharing and partial scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Nam Ling A special purpose formal verifier for systolic designs in DSP applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Morteza Saheb Zamani, Graham R. Hellestrand A New Neural Network Approach to the Floorplanning of Hierarchical VLSI Designs. Search on Bibsonomy IWANN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Jason Cong, Yuzheng Ding FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Biswadip Mitra, Preeti Ranjan Panda, Parimal Pal Chaudhuri Estimating the Complexity of Synthesized Designs from FSM Specifications. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Reiner Hähnle, Werner Kernig Verification of Switch-Level Designs with Many-Valued Logic. Search on Bibsonomy LPAR The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Peter G. Boyvalenkov, Svetla Nikova New lower bounds for some spherical designs. Search on Bibsonomy Algebraic Coding The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
14Niraj K. Jha Totally self-checking checker designs for Bose-Lin, Bose, and Blaum codes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
14Barbara Mirel Usability and hardcopy manuals: evaluating research designs and methods. Search on Bibsonomy SIGDOC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Chang Nian Zhang, Behrooz A. Shirazi, David Y. Y. Yun Computing multiple modulo summation (abstract only): a new algorithm, its VLSI designs and applications. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
14George S. Avrunin, Jack C. Wileden Describing and Analyzing Distributed Software System Designs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF DYMOL
14Andrew B. Kahng, Vijayalakshmi Srinivasan Big Chips. Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Big chips, dark silicon, network scalability, thermal design power, large-scale designs, field-programmable gate arrays, hardware accelerators, dynamic voltage and frequency scaling, 3D integration, physical synthesis, clock network synthesis
14Dariusz Czysz, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer Reduced ATE Interface for High Test Data Compression. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF channel bandwidth management, embedded deterministic test, test interface, tri-modal compression, test data compression, scan-based designs
14Jantima Polpinij, Aditya K. Ghose, Hoa Khanh Dam Business Rules Discovery from Process Design Repositories. Search on Bibsonomy SERVICES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF the Apriori Algorithm, Business Rules, Process Designs
14B. K. Mishra 0001, Sandhya Save Novel CAD Design Methodology for Two Stage Opamp with Noise-Power Balance. Search on Bibsonomy ICSAP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Analog circuit designs methodologies, Analog design automation, Op-amps, AMS, Simulated Annealing, CAD, SoC, ASIC, SPICE, EDA tools
14Marc D. Riedel, Soha Hassoun, Ron Weiss, Pamela Silver, J. Christopher Anderson, Richard M. Murray Joint DAC/IWBDA special session engineering biology: fundamentals and applications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bio-design automation, biological circuits, cellular programming, robustness, control, feedback, computational biology, system biology, modular designs, synthetic biology
14My T. Thai, Taieb Znati On the complexity and approximation of non-unique probe selection using d -disjunct matrix. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Non-unique probe, Non-adaptive group testing, d-disjunct matrix, Pooling designs
14Dingyi Pei New Family of Non-Cartesian Perfect Authentication Codes. Search on Bibsonomy IWCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF perfect authentication codes, subfield rational normal curves, partially balanced designs, spoofing attacks
14Marc Galceran Oms, Jordi Cortadella, Michael Kishinevsky Speculation in elastic systems. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF elastic designs, protocols, synthesis, speculation
14Hong-Bin Chen, Frank K. Hwang A survey on nonadaptive group testing algorithms through the angle of decoding. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Nonadaptive algorithms, Group testing, Pooling designs
14S. Saqib Khursheed, Paul M. Rosinger, Bashir M. Al-Hashimi, Sudhakar M. Reddy, Peter Harrod Bridge Defect Diagnosis for Multiple-Voltage Design. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Logic based Diagnosis, Multiple-Vdd designs, Resistive Bridging Faults
14Georgios Kalogridis, Chris J. Mitchell Using Non-adaptive Group Testing to Construct Spy Agent Routes. Search on Bibsonomy ARES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF spy agents, agent routes, decoy agents, group testing, pooling designs, trust evaluation
14Chiara Di Francescomarino, Paolo Tonella Crosscutting Concern Documentation by Visual Query of Business Processes. Search on Bibsonomy Business Process Management Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Process design methods and methodologies, Maintenance of process designs
14Josep Domingo-Ferrer, Maria Bras-Amorós Peer-to-Peer Private Information Retrieval. Search on Bibsonomy Privacy in Statistical Databases The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Privacy in statistical databases, private information retrieval, combinatorial designs
14Jerzy Tyszer, Janusz Rajski, Grzegorz Mrugalski, Nilanjan Mukherjee 0001, Mark Kassab, Wu-Tung Cheng, Manish Sharma, Liyang Lai X-Tolerant Compactor with On-Chip Registration and Signature-Based Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF on-chip collection of test data, selective compaction of test responses, fault diagnosis, DFT, embedded test, scan-based designs
14C. A. Rodger, S. K. Westbrook Decompositions of lambda K v . Search on Bibsonomy J. Comb. Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Pairwise balanced designs, Graph decompositions
14H. B. Chen, Ding-Zhu Du, Frank K. Hwang An unexpected meeting of four seemingly unrelated problems: graph testing, DNA complex screening, superimposed codes and secure key distribution. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Graph testing, Group testing, Superimposed codes, Pooling designs
14Gideon Avigad multi-Multi-Objective Optimization Problem and Its Solution by a MOEA. Search on Bibsonomy EMO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Family of designs, Communality, Engineering design
14Shekhar Borkar Tackling variability and reliability challenges. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transistor subthreshold leakage, reliability, variability, VLSI designs, technology scaling
14Hong Gao 0001, Frank K. Hwang, My T. Thai, Weili Wu 0001, Taieb Znati Construction of d(H)-disjunct matrix for group testing in hypergraphs. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DNA library screening, Complex, Group testing, Pooling designs
14Demetrius Arraes Nunes, Daniel Schwabe Rapid prototyping of web applications combining domain specific languages and model driven design. Search on Bibsonomy WWW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF model-based designs, hypermedia authoring
14José López Vicario, Carles Antón-Haro Cross-layer interaction between spatial and multi-user diversity in selective feedback systems: outage capacity analysis. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-antenna schemes, selective scheduling, cross-layer designs, wireless systems, multi-user diversity, spatial diversity
14Jan Camenisch, Abhi Shelat, Dieter Sommer, Roger Zimmermann Securing user inputs for the web. Search on Bibsonomy Digital Identity Management The full citation details ... 2006 DBLP  DOI  BibTeX  RDF user interface designs
14Demetrius Arraes Nunes, Daniel Schwabe Rapid prototyping of web applications combining domain specific languages and model driven design. Search on Bibsonomy ICWE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF model-based designs, hypermedia authoring
14John C. Giordano, Martin C. Carlisle Toward a more effective visualization tool to teach novice programmers. Search on Bibsonomy SIGITE Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RAPTOR, java, designs, flowcharts
14Michael J. Flynn, Patrick Hung Microprocessor Design Issues: Thoughts on the Road Ahead. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SIA, performance consideration, reliability, Power, SoC designs, microprocessor design, process technology
14John P. McSorley Double Arrays, Triple Arrays and Balanced Grids with v=r+c - 1. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF double arrays, triple arrays, balanced grids, designs, arrays
14Matthew James Munro Product Metrics for Automatic Identification of "Bad Smell" Design Problems in Java Source-Code. Search on Bibsonomy IEEE METRICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Software Metrics, Refactoring, Object-Oriented Designs
14Benjamin J. Raphael, Lung-Tien Liu, George Varghese A Uniform Projection Method for Motif Discovery in DNA Sequences. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF random projection, Motif discovery, combinatorial designs, low-discrepancy sequences, transcription factor binding sites
14S. Purushothaman Iyer, David Hislop, Paul L. Jones, Jaime Lee, Frederick Pearce, Stephen Van Albert Introductory paper. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Software in medical devices, Safety of software, Analysis of requirements and designs, US Food and Drug Administration, Formal methods
14Thierry Nodenot, Christophe Marquesuzaà, Pierre Laforcade, Christian Sallaberry Model based engineering of learning situations for adaptive web based educational systems. Search on Bibsonomy WWW (Alternate Track Papers & Posters) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF UML language, architectures and designs for web-based learning delivery environments, models and metamodels, specification of educational applications
14José Javier Dolado, Mark Harman, Mari Carmen Otero, Lin Hu 0005 An Empirical Investigation of the Influence of a Type of Side Effects on Program Comprehension. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Side-effect-free programs, crossover designs, program comprehension
14Lars Liebmann Layout impact of resolution enhancement techniques: impediment or opportunity? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF radically restricted designs, resolution enhancement techniques, design for manufacturability, lithography
14Fernando L. Pelayo, Fernando Cuartero, Valentín Valero Ruiz, Diego Cazorla An example of performance evaluation by using the stochastic process algebra: ROSA. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF ROSA, algebraic language, performance indexes, Reasoning On Stochastic Algebras, average time to send a message, performance evaluation, performance evaluation, fault tolerance, real-time systems, formal methods, temporal logic, case studies, specification languages, process algebra, algebraic specification, stochastic process algebra, real-time requirements, designs specifications, Alternating Bit Protocol, temporal requirements
14Chantana Chantrapornchai, Edwin Hsing-Mean Sha, Xiaobo Hu 0001 Efficient algorithms for acceptable design exploration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF acceptable designs, inclusion scheduling, module utility, design exploration, module selections
14Amir H. Farrahi, David J. Hathaway, Maogang Wang, Majid Sarrafzadeh Quality of EDA CAD Tools: Definitions, Metrics and Directions. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Design Quality Metrics, Integrated Design Exploration, Incremental Synthesis, Layout-Driven Synthesis' System-Level Interconnect Prediction and Planning, Tool Interoperability, Deep Submicron Designs
14Yuejian Wu Diagnosis of Scan Chain Failures. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF scan chain designs, fault diagnosis, design for testability
Displaying result #501 - #600 of 19048 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license