The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for latency with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1981 (15) 1984-1987 (16) 1988 (19) 1989 (24) 1990 (20) 1991 (33) 1992 (37) 1993 (53) 1994 (82) 1995 (109) 1996 (164) 1997 (209) 1998 (139) 1999 (253) 2000 (308) 2001 (336) 2002 (472) 2003 (554) 2004 (743) 2005 (898) 2006 (983) 2007 (1116) 2008 (1050) 2009 (692) 2010 (326) 2011 (248) 2012 (273) 2013 (345) 2014 (354) 2015 (430) 2016 (493) 2017 (611) 2018 (860) 2019 (896) 2020 (912) 2021 (980) 2022 (1004) 2023 (1061) 2024 (229)
Publication types (Num. hits)
article(5510) book(3) data(8) incollection(15) inproceedings(11637) phdthesis(174)
Venues (Conferences, Journals, ...)
CoRR(1084) IPDPS(232) ICC(202) IEEE Trans. Computers(181) GLOBECOM(177) IEEE Access(176) IEEE Trans. Parallel Distribut...(167) ISCA(137) ISCAS(126) HPCA(121) IEEE Trans. Very Large Scale I...(120) IEEE Internet Things J.(112) VTC Spring(112) MICRO(109) WCNC(108) INFOCOM(104) More (+10 of total 2460)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10061 occurrences of 3641 keywords

Results
Found 17347 publication records. Showing 17347 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Anthony T. C. Tam, Cho-Li Wang Contention-Aware Communication Schedule for High-Speed Communication. Search on Bibsonomy Clust. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF congestion control, hierarchical network, complete exchange, low-latency communication
18Wen-Syan Li, Oliver Po, Wang-Pin Hsiung, K. Selçuk Candan, Divyakant Agrawal Engineering and hosting adaptive freshness-sensitive web applications on data centers. Search on Bibsonomy WWW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF database-driven web applications, net-work latency, web acceleration, response time, dynamic content, freshness
18Won Woo Ro, Jean-Luc Gaudiot, Stephen P. Crago, Alvin M. Despain HiDISC: A Decoupled Architecture for Data-Intensive Application. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Memory access latency and Speculative pre-execution, Data prefetching, Data-intensive applications, Decoupled architecture
18Luca P. Carloni, Alberto L. Sangiovanni-Vincentelli On-chip communication design: roadblocks and avenues. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF GALS, on-chip networks, latency-insensitive design
18José A. Tierno, Sergey V. Rylov, Alexander V. Rylyakov, Montek Singh, Steven M. Nowick An Adaptively-Pipelined Mixed Synchronous-Asynchronous Digital FIR Filter Chip Operating at 1.3 GigaHertz. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF PRML read channel, magnetic recording, asynchronous pipeline, digital arithmetic, FIR filter, dynamic logic, high-throughput, low-latency, distributed arithmetic, mixed timing
18Hui Wu 0001, Joxan Jaffar, Roland H. C. Yap A Fast Algorithm for Scheduling Instructions with Deadline Constraints on RISC Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF inter-instructional latency, instruction scheduling, feasible schedule, deadline constraints
18Mohammad Banikazemi, Vijay Moorthy, Dhabaleswar K. Panda 0001, Lorraine Herger, Bülent Abali Efficient Virtual Interface Architecture (VIA) Support for the IBM SP Switch-Connected NT Clusters. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Performance Evaluation, Interconnection Networks, Cluster Computing, Distributed Memory, Scalable Architecture, Low-Latency Communication
18Hamid Sarbazi-Azad, Mohamed Ould-Khaoua, Lewis M. Mackenzie Performance Analysis of k-Ary n-Cubes with Fully Adaptive Routing. Search on Bibsonomy ICPADS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Interconnection Networks, Parallel Architectures, Performance Modeling, Wormhole Routing, Multicomputers, Virtual Channels, Message Latency, Fully-Adaptive Routing
18Kai Hwang 0001, Choming Wang, Cho-Li Wang, Zhiwei Xu Resource Scaling Effects on MPP Performance: The STAP Benchmark Implications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF SPMD parallelism, ASCI program, STAP benchmark, phase-parallel model, latency and bandwidth, supercomputer performance, Massively parallel processors, scalability analysis
18Lixin Zhang 0002, John B. Carter, Wilson C. Hsieh, Sally A. McKee Memory System Support for Image Processing. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus utilization, image processing, virtual memory, memory architecture, memory bandwidth, memory latency, cache efficiency
18Indhira Garcés, Daniel Franco 0002, Emilio Luque Analytical Modeling of the Network Traffic Performance. Search on Bibsonomy MASCOTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Wormhole Routing Protocol Performance, Network Traffic Simulation, Interconnection Network Simulation Modeling, Latency Behavior Analytical Model
18Jae Hun Choi, Jae-Hyuck Kwak, Earl E. Swartzlander Jr. High-Speed CORDIC Architecture Based on Redundant Sum Formation and Overlapped s-Selection. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Low latency CORDIC architecture, Folded-transistor design, Area optimization
18Daniel Franco 0002, Indhira Garcés, Emilio Luque Avoiding Communication Hot-Spots in Interconnection Networks. Search on Bibsonomy HICSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hot-spot avoidance, uniform latency, distributed routing balancing, Interconnection networks, adaptive routing, random routing, traffic distribution
18David A. Koufaty, Josep Torrellas Compiler Support for Data Forwarding in Scalable Shared-Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache hierarchy performance, compiler algorithms to hide memory latency, shared-memory multiprocessors, cache coherence protocols, data forwarding
18Hock-Beng Lim, Pen-Chung Yew An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching
18Samuel Norman Hamilton, Alex Orailoglu Transient and Intermittent Fault Recovery without Rollback. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF High-Level Synthesis of Fault Tolerant ICs, Transient and Intermittent Fault Resilience, Low Latency Fault Recovery, Safety Critical Systems, Fault Tolerant Microarchitectures
18Mahamed Adda A Scalable Multibus Configuration for Connecting Transputer Links. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CSMA/CD protocol, scalability, latency, deadlock, packet routing, Transputers, virtual cut-through
18Todd C. Mowry, Chi-Keung Luk Predicting Data Cache Misses in Non-Numeric Applications through Correlation Profiling. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cache miss prediction, non-numeric applications, profiling, correlation, latency tolerance
18Asger Munk Nielsen, David W. Matula, Chung Nan Lyu, Guy Even Pipelined Packet-Forwarding Floating Point: II. An Adder. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1997 DBLP  DOI  BibTeX  RDF pipelined packet forwarding floating point, floating point addition algorithm, adder pipeline design, packet forwarding pipeline paradigm, data hazards, deeply pipelined floating point pipelines, four stage execution phase pipeline, short clock period, fifteen logic levels, addition algorithm, standard binary floating point format, standard IEEE 754 rounded result, two cycle latency, cooperating multiplier pipeline, packet forwarding operand, IEEE 754 binary floating point compatibility, pipeline arithmetic
18Minsoo Ryu, Seongsoo Hong, Manas Saksena Streamlining real-time controller design: From performance specifications to end-to-end timing constraints. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF real-time controller design, performance specifications, end-to-end timing constraints, control theoretic approach, schedulability constraint, control output responses, steady state error maximum overshoot, rise time, loop processing periods, input-to-output latency, heuristic optimization algorithm, embedded real-time controller, period calibration method, real-time systems, performance requirements, control performance, real-time control system, temporal requirements, settling time
18Vikram R. Pesati, Thomas E. Keefe, Shankar Pal The Design and Implementation of a Multilevel Secure Log Manager. Search on Bibsonomy S&P The full citation details ... 1997 DBLP  DOI  BibTeX  RDF data loggers, multilevel secure log manager, log management, flush latency, performance, system design, bandwidth, system implementation, security evaluation, multilevel secure database system
18Dean M. Tullsen, Susan J. Eggers Effective Cache Prefetching on Bus-Based Multiprocessors Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching
18Qiang Li, David B. Gustavson Fat-tree for local area multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF local area multiprocessors, LAMP, high-performance low-cost parallel computing, LAN-size area, remote data cache, high performance multiprocessor, point-to-point physical connections, high system throughput, fat-tree topology, cable length, link clock speeds, biCMOS chips, performance evaluation, parallel architectures, parallel architecture, multiprocessor interconnection networks, local area networks, latency, packet switching, packet switch, CMOS, shared memory systems, distributed memory systems, simulation results, cache storage, system buses, SCI, buffer requirements, distributed-shared-memory multiprocessor, scalable coherent interface
18Klaus E. Schauser, Chris J. Scheiman Experience with active messages on the Meiko CS-2. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Meiko CS-2, network communication co-processors, parallel architectures, message passing, parallel machines, parallel machines, low latency, communication architecture, active messages
18Masato Oguchi, Hitoshi Aida, Tadao Saito A Proposal for a DSM Architecture Suitable for a Widely Distributed Environment and its Evaluation. Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed shared memory architecture, widely distributed environment, functionally distributed computing, software programming, replicated shared memory, internal machine memory, SPARCstations, SCRAMNet, latency hiding techniques, performance evaluation, parallel architectures, shared memory systems, distributed memory systems, data prefetching, multi-thread programming, shared virtual memory
18David M. Koppelman Reducing PE/Memory Traffic in Multiprocessors by the Difference Coding of Memory Addresses. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF memory traffic, difference coding, memory addresses, shared memory parallel computer, trace-drivensimulation, traffic volume, lower cost, lower latency network, networklatency, virtual machines, multiprocessors, message passing, multiprocessor interconnection networks, memories, shared memory systems, storage management, buffer storage, processing elements, coherent cache
18Stefan Lüpke Accelerated Access to Shared Distributed Arrays on Distribued Memory Systems by Access Objects. Search on Bibsonomy CONPAR The full citation details ... 1994 DBLP  DOI  BibTeX  RDF access objects, data prefetch, distributed data structures, latency hiding, virtual shared memory
18Yuval Tamir, Hsin-Chou Chi Symmetric Crossbar Arbiters for VLSI Communication Switches. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF VLSI communication switches, symmetric crossbar arbiters, multistage interconnectionnetwork, switch arbitration policy, worst-case latency, circuitsimulation, performance evaluation, VLSI, circuit analysis computing, network simulations, critical path, multiprocessorinterconnection networks, system clock
16Shao-Jung Lu, Wei-Xun Chen, Yu-Shao Su, Yu-Shou Chang, Yao-Wen Liu, Chi-Yu Li, Guan-Hua Tu Practical Latency-Aware Scheduling for Low-Latency Elephant VR Flows in Wi-Fi Networks. Search on Bibsonomy PerCom The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Grégoire Hugues Cattan, César Mendoza Tagging Latency Estimator: A Standalone Software for Estimating Latency of Event-Related Potentials in P300-based Brain-Computer Interfaces. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yuichi Hiroi, Akira Watanabe, Yuri Mikawa, Yuta Itoh 0001 Low-Latency Beaming Display: Implementation of Wearable, 133 μs Motion-to-Photon Latency Near-Eye Display. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Lintao Li, Wei Chen 0002, Petar Popovski, Khaled B. Letaief Reliability-Latency-Rate Tradeoff in Low-Latency Communications with Finite-Blocklength Coding. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Takumi Fujimori, Shuou Nomura BOOSTER: Rethinking the erase operation of low-latency SSDs to achieve high throughput and less long latency. Search on Bibsonomy SYSTOR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Nuoya Zhang, Ping He, Zuping Wu, Pinghui Chen, Lei Wang 0118, Zhenye Ye Latency Analysis and Trial for 5G Ultra Reliable Low Latency Communication. Search on Bibsonomy ICCC Workshops The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Feixue Han, Mowei Wang, Yong Cui 0001, Qing Li 0006, Ru Liang, Yashe Liu, Yong Jiang 0001 Future Data Center Networking: From Low Latency to Deterministic Latency. Search on Bibsonomy IEEE Netw. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Brian Eaton, Jeff Sterart, Jon Tedesco, N. Cihan Tas Distributed Latency Profiling through Critical Path Tracing: CPT can provide actionable and precise latency analysis. Search on Bibsonomy ACM Queue The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Dang Van-Huynh, Van-Dinh Nguyen, Saeed R. Khosravirad, Trung Q. Duong Minimising Offloading Latency for Edge-Cloud Systems with Ultra-Reliable and Low-Latency Communications. Search on Bibsonomy ICC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Dang Van-Huynh, Van-Dinh Nguyen, Saeed R. Khosravirad, Trung Q. Duong Fairness-aware Latency Minimisation in Digital Twin-aided Edge Computing with Ultra-Reliable and Low-Latency Communications: A Distributed Optimisation Approach (Invited Paper). Search on Bibsonomy IEEECONF The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Mingzhe Liu, Haikun Liu, Chencheng Ye, Xiaofei Liao, Hai Jin 0001, Yu Zhang 0027, Ran Zheng, Liting Hu Towards low-latency I/O services for mixed workloads using ultra-low latency SSDs. Search on Bibsonomy ICS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Dawei Sun 0001, Hanyu He, Hongbin Yan, Shang Gao 0003, Xunyun Liu, Xinqi Zheng Lr-Stream: Using latency and resource aware scheduling to improve latency and throughput for streaming applications. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Mohammad Reza Amini, Mohammed W. Baidas Reliability-Latency Tradeoffs in Random Access Ultra-Reliable Low-Latency Energy-Harvesting 5G Networks with Finite Blocklength Codes. Search on Bibsonomy PIMRC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Jan-Philipp Stauffert, Florian Niebling, Marc Erich Latoschik Simultaneous Run-Time Measurement of Motion-to-Photon Latency and Latency Jitter. Search on Bibsonomy VR The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Robert Gruen, Eyal Ofek, Anthony Steed, Ran Gal, Mike Sinclair, Mar González-Franco Measuring System Visual Latency through Cognitive Latency on Video See-Through AR devices. Search on Bibsonomy VR The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Mia Primorac Understanding and Mitigating Latency Variability of Latency-Critical Applications. Search on Bibsonomy 2020   DOI  RDF
16Lingxia Liao, Victor C. M. Leung, Min Chen 0003 An Efficient and Accurate Link Latency Monitoring Method for Low-Latency Software-Defined Networks. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Esmail Asyabi, Erfan Sharafzadeh, SeyedAlireza SanaeeKohroudi, Mohsen Sharifi CTS: An operating system CPU scheduler to mitigate tail latency for latency-sensitive multi-threaded applications. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Xiaolin Jiang 0001, Hossein Shokri Ghadikolaei, Gábor Fodor 0001, Eytan H. Modiano, Zhibo Pang, Michele Zorzi, Carlo Fischione Low-Latency Networking: Where Latency Lurks and How to Tame It. Search on Bibsonomy Proc. IEEE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Georgios Psaropoulos, Ismail Oukid, Thomas Legler, Norman May, Anastasia Ailamaki Bridging the Latency Gap between NVM and DRAM for Latency-bound Operations. Search on Bibsonomy DaMoN The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Gyusun Lee, Seokha Shin, Wonsuk Song, Tae Jun Ham, Jae W. Lee, Jinkyu Jeong Asynchronous I/O Stack: A Low-latency Kernel I/O Stack for Ultra-Low Latency SSDs. Search on Bibsonomy USENIX Annual Technical Conference The full citation details ... 2019 DBLP  BibTeX  RDF
16Wenzhi Cui, Daniel Richins, Yuhao Zhu 0001, Vijay Janapa Reddi Tail latency in node.js: energy efficient turbo boosting for long latency requests in event-driven web services. Search on Bibsonomy VEE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Apostolos Avranas, Marios Kountouris, Philippe Ciblat Energy-Latency Tradeoff in Ultra-Reliable Low-Latency Communication With Retransmissions. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Apostolos Avranas, Marios Kountouris, Philippe Ciblat Energy-Latency Tradeoff in Ultra-Reliable Low-Latency Communication with Retransmissions. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Donghyuk Lee, Yoongu Kim, Gennady Pekhimenko, Samira Manabi Khan, Vivek Seshadri, Kevin K. Chang, Onur Mutlu Adaptive-Latency DRAM: Reducing DRAM Latency by Exploiting Timing Margins. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, Onur Mutlu Tiered-Latency DRAM: Enabling Low-Latency Main Memory at Low Cost. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Xiaolin Jiang 0001, Hossein Shokri Ghadikolaei, Gábor Fodor 0001, Eytan H. Modiano, Zhibo Pang, Michele Zorzi, Carlo Fischione Low-latency Networking: Where Latency Lurks and How to Tame It. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Kevin K. Chang, Abhijith Kashyap, Hasan Hassan, Saugata Ghose, Kevin Hsieh, Donghyuk Lee, Tianshi Li 0001, Gennady Pekhimenko, Samira Manabi Khan, Onur Mutlu Flexible-Latency DRAM: Understanding and Exploiting Latency Variation in Modern DRAM Chips. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
16Jeremie S. Kim, Minesh Patel, Hasan Hassan, Onur Mutlu The DRAM Latency PUF: Quickly Evaluating Physical Unclonable Functions by Exploiting the Latency-Reliability Tradeoff in Modern Commodity DRAM Devices. Search on Bibsonomy HPCA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Apostolos Avranas, Marios Kountouris, Philippe Ciblat Energy-Latency Tradeoff in Ultra-Reliable Low-Latency Communication with Short Packets. Search on Bibsonomy GLOBECOM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Christophe J. Merlin, Laura Poplawski Ma, Gregory Lauer, Stephen Zabele Latency-Aware Forwarding for IRON: Latency Support for Back-Pressure Forwarding. Search on Bibsonomy MILCOM The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Donghyuk Lee, Samira Manabi Khan, Lavanya Subramanian, Saugata Ghose, Rachata Ausavarungnirun, Gennady Pekhimenko, Vivek Seshadri, Onur Mutlu Design-Induced Latency Variation in Modern DRAM Chips: Characterization, Analysis, and Latency Reduction Mechanisms. Search on Bibsonomy Proc. ACM Meas. Anal. Comput. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Asmita Pal, Aatreyi Bal, Koushik Chakraborty, Sanghamitra Roy Split Latency Allocator: Process Variation-Aware Register Access Latency Boost in a Near-Threshold Graphics Processing Unit. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Christiane Attig, Nadine Rauh, Thomas Franke, Josef F. Krems System Latency Guidelines Then and Now - Is Zero Latency Really Considered Necessary? Search on Bibsonomy HCI (6) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Donghyuk Lee, Samira Manabi Khan, Lavanya Subramanian, Saugata Ghose, Rachata Ausavarungnirun, Gennady Pekhimenko, Vivek Seshadri, Onur Mutlu Design-Induced Latency Variation in Modern DRAM Chips: Characterization, Analysis, and Latency Reduction Mechanisms. Search on Bibsonomy SIGMETRICS (Abstracts) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Jan-Philipp Stauffert, Florian Niebling, Marc Erich Latoschik A Latency and Latency Jitter Simulation Framework with OSVR. Search on Bibsonomy SEARIS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Gayani Samaraweera, Rongkai Guo, John Quarles Head Tracking Latency in Virtual Environments Revisited: Do Users with Multiple Sclerosis Notice Latency Less? Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Donghyuk Lee, Samira Manabi Khan, Lavanya Subramanian, Rachata Ausavarungnirun, Gennady Pekhimenko, Vivek Seshadri, Saugata Ghose, Onur Mutlu Reducing DRAM Latency by Exploiting Design-Induced Latency Variation in Modern DRAM Chips. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
16In-Gul Jang, Gweon-Do Jo Study on the latency efficient IFFT design method for low latency communication systems. Search on Bibsonomy ISPACS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Karthikeyan Ganesan, Tapisha Soni, Swaroop Nunna, Ali Ramadan Ali Poster: A TDM approach for latency reduction of ultra-reliable low-latency data in 5G. Search on Bibsonomy VNC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Qun Wei, Ki-Woong Seong, Jyung Hyun Lee, Hee Joon Park, Myoung Nam Kim, Jin-Ho Cho Implementation of adjustive latency compensation in a binaural hearing aid to prevent latency due to wireless transmission for using with multi-media devices. Search on Bibsonomy Int. J. Sens. Networks The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Naresh M. Patel Half-Latency Rule for Finding the Knee of the Latency Curve. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Katsushi Kobayashi LAWIN: A Latency-AWare InterNet architecture for latency support on best-effort networks. Search on Bibsonomy HPSR The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jonathan Deber, Ricardo Jota, Clifton Forlines, Daniel Wigdor How Much Faster is Fast Enough?: User Perception of Latency & Latency Improvements in Direct and Indirect Touch. Search on Bibsonomy CHI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Jarrod Knibbe, Hrvoje Benko, Andrew D. Wilson Juggling the Effects of Latency: Software Approaches to Minimizing Latency in Dynamic Projector-Camera Systems. Search on Bibsonomy UIST (Adjunct Volume) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xiang Liu 0013, Huaiyu Zeng, Naresh Chand, Frank J. Effenberger Experimental demonstration of high-throughput low-latency mobile fronthaul supporting 48 20-MHz LTE signals with 59-Gb/s CPRI-equivalent rate and 2-μs processing latency. Search on Bibsonomy ECOC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Yuqi Fan, Hongli Ding, Donghui Hu Green latency-aware data deployment in data centers: balancing latency, energy in networks and servers. Search on Bibsonomy DCC@SIGCOMM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Haijun Xia, Ricardo Jota, Benjamin McCanny, Zhe Yu, Clifton Forlines, Karan Singh, Daniel Wigdor Zero-latency tapping: using hover information to predict touch locations and eliminate touchdown latency. Search on Bibsonomy UIST The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, Onur Mutlu Tiered-latency DRAM: A low latency and low cost DRAM architecture. Search on Bibsonomy HPCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Ricard Marxer Piñón Audio source separation for music in low-latency and high-latency scenarios. Search on Bibsonomy 2013   RDF
16Timothy J. Buker, Dennis A. Vincenzi, John E. Deaton The Effect of Apparent Latency on Simulator Sickness While Using a See-Through Helmet-Mounted Display: Reducing Apparent Latency With Predictive Compensation. Search on Bibsonomy Hum. Factors The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Mario R. Casu, Stefano Colazzo, Paolo Mantovani Coupling latency-insensitivity with variable-latency for better than worst case design: a RISC case study. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Martin J. Fischer, Denise M. Bevilacqua Masi, John F. Shortle Approximating Low Latency Queueing Buffer Latency. Search on Bibsonomy AICT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Toshinori Sato, Itsujiro Arita Combining variable latency pipeline with instruction reuse for execution latency reduction. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Brook Conner, Loring Holden Providing a Low Latency User Experience in a High Latency Application. Search on Bibsonomy SI3D The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Doug Mahar, Renee Napier, Michael Wagner 0004, William Laverty, Ron Henderson, Michael Hiron Optimizing digraph-latency based biometric typist verification systems: inter and intra typist differences in digraph latency distributions. Search on Bibsonomy Int. J. Hum. Comput. Stud. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Volker Strumpen, Thomas L. Casavant Implementing communication latency hiding in high-latency computer networks. Search on Bibsonomy HPCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Chia-Hung Dylan Tsai, Imin Kao The latency model for viscoelastic contact interface in robotics: Theory and experiments. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Peng-Jun Wan, Zhu Wang 0002, Zhiyuan Wan, Scott C.-H. Huang, Hai Liu 0001 Minimum-Latency Schedulings for Group Communications in Multi-channel Multihop Wireless Networks. Search on Bibsonomy WASA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Jan Staschulat, Marco Bekooij Dataflow models for shared memory access latency analysis. Search on Bibsonomy EMSOFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multiprocessor performance analysis, real-time, memory accesses, dataflow model
15Andrey Brito, Christof Fetzer, Pascal Felber Minimizing Latency in Fault-Tolerant Distributed Stream Processing Systems. Search on Bibsonomy ICDCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Seungtak Oh, Hyunseung Choo Low Latency Handover Scheme Based on Optical Buffering at LMA in Proxy MIPv6 Networks. Search on Bibsonomy ICCSA (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Basavaraj Talwar, Shailesh Kulkarni, Bharadwaj Amrutur Latency, Power and Performance Trade-Offs in Network-on-Chips by Link Microarchitecture Exploration. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Marleen Morbée, Antoni Roca 0002, Josep Prades-Nebot, Aleksandra Pizurica, Wilfried Philips Reduced decoder complexity and latency in pixel-domain Wyner-Ziv video coders. Search on Bibsonomy Signal Image Video Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Feedback channel, Rate allocation, Distributed video coding, Wyner-Ziv coding
15Khoi Anh Phan, Zahir Tari, Peter Bertók Similarity-Based SOAP Multicast Protocol to Reduce Bandwith and Latency in Web Services. Search on Bibsonomy IEEE Trans. Serv. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Scott C.-H. Huang, Hongwei Du, Eun K. Park Minimum-latency gossiping in multi-hop wireless networks. Search on Bibsonomy MobiHoc The full citation details ... 2008 DBLP  DOI  BibTeX  RDF broadcast, gossip, TDMA
15Ehsan Atoofian, Amirali Baniasadi Exploiting program cyclic behavior to reduce memory latency in embedded processors. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-speed embedded processors, row buffer, memory
15Ajay Kumar Verma, Philip Brisk, Paolo Ienne Variable Latency Speculative Addition: A New Paradigm for Arithmetic Circuit Design. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jelte Peter Vink, Kees van Berkel 0001, Pieter van der Wolf Performance Analysis of SoC Architectures Based on Latency-Rate Servers. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Paul B. Beskow, Knut-Helge Vik, Pål Halvorsen, Carsten Griwodz Latency reduction by dynamic core selection and partial migration of game state. Search on Bibsonomy NETGAMES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Itziar Marín, Aitzol Zuloaga, Iker Losada A Novel Technique for Low Latency Data Gathering in Wireless Sensor Networks. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Elizabeth A. Bodine, Michael K. Cheng Characterization of Luby Transform Codes with Small Message Size for Low-Latency Decoding. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 17347 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license