The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for lithography with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1990 (18) 1991-1993 (19) 1994-1997 (20) 1998-2000 (15) 2001-2002 (16) 2003 (21) 2004 (19) 2005 (25) 2006 (35) 2007 (35) 2008 (58) 2009 (50) 2010 (37) 2011 (27) 2012 (32) 2013 (35) 2014 (39) 2015 (35) 2016 (35) 2017 (33) 2018 (35) 2019 (23) 2020 (16) 2021 (19) 2022 (18) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(265) book(1) incollection(2) inproceedings(456) phdthesis(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 300 occurrences of 168 keywords

Results
Found 748 publication records. Showing 748 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Yang-Shan Tong, Sao-Jie Chen An Automatic Optical Simulation-Based Lithography Hotspot Fix Flow for Post-Route Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Chul-Hong Park, Xu Xu 0001, Hailong Yao Layout Decomposition Approaches for Double Patterning Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Tai-Chen Chen, Guang-Wan Liao, Yao-Wen Chang Predictive Formulae for OPC With Applications to Lithography-Friendly Routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Mohit Gupta, Kwangok Jeong, Andrew B. Kahng Timing Yield-Aware Color Reassignment and Detailed Placement Perturbation for Bimodal CD Distribution in Double Patterning Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Sergio Gómez, Francesc Moll Lithography Aware Regular Cell Design Based on a Predictive Technology Model. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Xu Ma, Gonzalo R. Arce Computational Lithography. Search on Bibsonomy 2010   RDF
16Ningning Jia, Edmund Y. Lam Stochastic gradient descent for robust inverse photomask synthesis in optical lithography. Search on Bibsonomy ICIP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Rami F. Salem, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, Mohab H. Anis A DFM tool for analyzing lithography and stress effects on standard cells and critical path performance in 45nm digital designs. Search on Bibsonomy IDT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Yit Sung Ngo, Geng Yang, Andi Sudjana Putra, Kar Tien Ang, Arthur Tay, Zhong Ping Fang Equipment design and process control of critical dimensions in lithography. Search on Bibsonomy ICCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Kuen-Yu Tsai, Wei-Jhih Hsieh, Yuan-Ching Lu, Bo-Sen Chang, Sheng-Wei Chien, Yi-Chang Lu A new method to improve accuracy of parasitics extraction considering sub-wavelength lithography effects. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Jae-Seok Yang, Katrina Lu, Minsik Cho, Kun Yuan, David Z. Pan A new graph-theoretic, multi-objective layout decomposition framework for double patterning lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Chin-Ching Hsu, Yung-Chung Lee Fabrication of flexible nano-wired polarizer by contact-transferred and mask embedded lithography and polyurethane acrylate mold. Search on Bibsonomy NEMS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Min Zhao, Baoqin Chen, Changqing Xie, Ming Liu, Jiebing Nie Study of process of HSQ in electron beam lithography. Search on Bibsonomy NEMS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Kun Yuan, David Z. Pan WISDOM: Wire spreading enhanced decomposition of masks in Double Patterning Lithography. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Vivek Joshi, Kanak Agarwal, David T. Blaauw, Dennis Sylvester Analysis and optimization of SRAM robustness for double patterning lithography. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Vivek Joshi, Michael Wieckowski, Gregory K. Chen, David T. Blaauw, Dennis Sylvester Analyzing the impact of Double Patterning Lithography on SRAM variability in 45nm CMOS. Search on Bibsonomy CICC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Kwangok Jeong, Andrew B. Kahng, Rasit Onur Topaloglu Assessing chip-level impact of double patterning lithography. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Yao Peng 0003, Jinyu Zhang, Yan Wang 0023, Zhiping Yu High performance source optimization using a gradient-based method in optical lithography. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Wing Chiu Tam, R. D. (Shawn) Blanton, Wojciech Maly Evaluating yield and testing impact of sub-wavelength lithography. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Rance Rodrigues, Sandip Kundu Optical Lithography Simulation with Focus Variation using Wavelet Transform. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Critical Dimensions, Edge Detection Value, Wavelet Transform, Wavelet, Aerial Image
16Kevin J. Meneou Pathways for quantum dot optoelectronics fabrication using soft nanoimprint lithography Search on Bibsonomy 2010   RDF
16Hsin-I Cindy Liu Architecture and Hardware Design of Lossless Compression Algorithms for Direct-Write Maskless Lithography Systems. Search on Bibsonomy 2010   RDF
16Hsiu-Ming Yeh, Kuo-Shen Chen Development of a Digital-Convolution-Based Process Emulator for Three-Dimensional Microstructure Fabrication Using Electron-Beam Lithography. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Arthur Tay, Weng Khuen Ho, Xiaodong Wu, Xiaoqi Chen In Situ Monitoring of Photoresist Thickness Uniformity of a Rotating Wafer in Lithography. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Minsik Cho, Kun Yuan, Yongchan Ban, David Z. Pan ELIAD: Efficient Lithography Aware Detailed Routing Algorithm With Compact and Macro Post-OPC Printability Prediction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Quandai Wang, Yugang Duan, Yucheng Ding, Bingheng Lu, Jiawei Xiang, Lianfa Yang Investigation on LIGA-like process based on multilevel imprint lithography. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Lei Yin, Hongzhong Liu, Yucheng Ding, Hongbo Lan, Bingheng Lu Fabrication of carbon nanotube arrays for field emission and sensor devices by nanoimprint lithography. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Kurt Ronse, Philippe Jansen, Roel Gronheid, Eric Hendrickx, Mireille Maenhoudt, Vincent Wiaux, Mieke Goethals, R. Jonckheere, Geert Vandenberghe Lithography Options for the 32 nm Half Pitch Node and Beyond. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Jian-Shian Lin, Chieh-Lung Lai, Ya-Chun Tu, Cheng-Hua Wu, Yoshimi Takeuchi A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment. Search on Bibsonomy Int. J. Autom. Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Aswin Sreedhar, Sandip Kundu On linewidth-based yield analysis for nanometer lithography. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Caroline Prodhon, Demetrio Macías, Farouk Yalaoui, Alexandre Vial, Lionel Amodeo Bi-objective Optimization of the Plasmon-assisted Lithography - Design of Plasmonic Nanostructures. Search on Bibsonomy IJCCI The full citation details ... 2009 DBLP  BibTeX  RDF
16Shuhua Wei, Jinzhao Zhang, Li Han Design and implementation of software system of E-beam lithography based on SEM. Search on Bibsonomy NEMS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Michael Junkin, Donna D. Zhang, Pak Kin Wong 0002 Plasma lithography for control of cell morphology and proliferation. Search on Bibsonomy NEMS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Mohit Gupta, Kwangok Jeong, Andrew B. Kahng Timing yield-aware color reassignment and detailed placement perturbation for double patterning lithography. Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Burn J. Lin, R. G. Liu Progress and outlook of lithography for semiconductor IC. Search on Bibsonomy CICC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Rance Rodrigues, Aswin Sreedhar, Sandip Kundu Optical lithography simulation using wavelet transform. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Nada Amin, William Thies, Saman P. Amarasinghe Computer-aided design for microfluidic chips based on multilayer soft lithography. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Vivek Singh Computational Lithography - Moore Bang for your Buck. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Pengfei Cao, Lin Cheng, Xiao-Ping Zhang Computer Simulation of 3D Mask Image Based on Self-Adaptive Model in VLSI Lithography. Search on Bibsonomy CSIE (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Bryan M. Cord Achieving sub-10-nm resolution using scanning electron beam lithography. Search on Bibsonomy 2009   RDF
16Joel K. Yang Advancements in superconducting nanowire single-photon detectors and development of fabrication for sub-10-nm lithography. Search on Bibsonomy 2009   RDF
16Franklin M. Schellenberg Modeling and Computational Lithography. Search on Bibsonomy Handbook of Algorithms for Physical Design Automation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hiroshi Yamauchi, Yasuyuki Watanabe, Masaaki Iizuka, Masakazu Nakamura, Kazuhiro Kudo Characterization of Organic Static Induction Transistors with Nano-Gap Gate Fabricated by Electron Beam Lithography. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Ke Cao, Jiang Hu ASIC design flow considering lithography-induced effects. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Shi-Long Lv, Zhi-Tang Song, Song-Lin Feng Fabrication of arrays of line with nanoscale width and large length by electron beam lithography with high-precision stage. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Ho Seob Kim, Seungjoon Ahn, Dae Wook Kim, Tae-Sik Oh, Seong Joon Ahn Efficient electron beam condensing for low-energy microcolumn lithography. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Aswin Sreedhar, Sandip Kundu Lithography Simulation Basics and a Study on Impact of Lithographic Process Window on Gate and Path Delays. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16R. Fabian W. Pease, Stephen Y. Chou Lithography and Other Patterning Techniques for Future Electronics. Search on Bibsonomy Proc. IEEE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Yoko Yamanishi, Shinya Sakuma, Yuki Kihara, Fumihito Arai On-chip magnetic 3D soft microactuators made by gray-scale lithography. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Fuyuan Luo, Juan Yin An optimal scheduling algorithm for the motion control of step and scan lithography. Search on Bibsonomy ICARCV The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Lianguan Shen, Meiyan Wang, Yu Hao, Gaofei Zhao, Zhao Shu, Sun Yuan, Xiaodong Wang, Mujun Li, Jinjin Zheng MEMS lithography collaborative simulation environment research. Search on Bibsonomy CSCWD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Kurt Ronse, Philippe Jansen, Roel Gronheid, Eric Hendrickx, Mireille Maenhoudt, Mieke Goethals, Geert Vandenberghe Lithography options for the 32nm half pitch node and beyond. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16C. H. Zhang, Sunao Katsuki, H. Horta, H. Imamura, Hidenori Akiyama High-Power EUV Source for Lithography Using Tin Target. Search on Bibsonomy IAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Aswin Sreedhar, Sandip Kundu Statistical Yield Modeling for Sub-wavelength Lithography. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Farah Fida, Ramin Banan-Sadeghian, Ahmad-Reza Hajiaboli, Yahia Djaoued, Simona Badilescu, Subramanian Balaji, M. Kahrizi, Vo-Van Truong Biosensing Based on Surface Plasmon Resonance of Gold Nanohole and Nanoring Arrays Fabricated by a Novel Nanosphere Lithography Technique. Search on Bibsonomy BIOTECHNO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Zhen Ma, David M. Klymyshyn, Sven Achenbach, Martin Börner, Nina Dambrowsky, Jürgen Mohr An Ultra-Deep High-Q Microwave Cavity Resonator Fabricated Using Deep X-Ray Lithography. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Makoto Sugihara, Kenta Nakamura, Yusuke Matsunaga, Kazuaki J. Murakami Technology Mapping Technique for Increasing Throughput of Character Projection Lithography. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Manseung Seo, Haeryung Kim Lithography upon micromirrors. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Peng Yu, David Z. Pan A novel intensity based optical proximity correction algorithm with speedup in lithography simulation. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jaesik Lee, Joseph Weiner, Hsin-Hung Chen, Yves Baeyens, Vladimir Aksyuk, Young-Kai Chen CMOS-Based MEMS Mirror Driver for Maskless Lithography Systems. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Naoto Miyamoto, Masahiko Shimakage, Tatsuo Morimoto, Kazuya Kadota, Shigetoshi Sugawa, Tadahiro Ohmi A Rapid Prototyping of Real-Time Pattern Generator for Step-and-Scan Lithography Using Digital Micromirror Device. Search on Bibsonomy FPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Darsun Tsiena, Chien Kuo Wang, William W. J. Wang, Yajun Ran, Philippe Hurat, Nishath Verghese Context-specific leakage and delay analysis of a 65nm standard cell library for lithography-induced variability. Search on Bibsonomy SoCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Manseung Seo, Haeryung Kim, Masahiko Onosato Lithography Using a Microelectronic Mask. Search on Bibsonomy J. Robotics Mechatronics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Carsten Burstedde, Jürgen Braun, Angela Kunoth Computing light masks in neutral atom lithography. Search on Bibsonomy J. Comput. Phys. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Kanad Chakraborty, Alexey Lvov, Maharaj Mukherjee Novel algorithms for placement of rectangular covers for mask inspection in advanced lithography and other VLSI design applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Edson J. Carvalho, Marco Antonio Robert Alves, Edmundo S. Braga, Lucila Cescato SiO2 single layer for reduction of the standing wave effects in the interference lithography of deep photoresist structures on Si. Search on Bibsonomy Microelectron. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ali Rostami, Ali Rahmani Two-dimensional optical mask design and atom lithography. Search on Bibsonomy Microelectron. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Antti Tukiainen, J. Viheriälä, Tapio Niemi, T. Rytkönen, J. Kontio, M. Pessa Selective growth experiments on gallium arsenide (100) surfaces patterned using UV-nanoimprint lithography. Search on Bibsonomy Microelectron. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Kuo-Chang Lo, Mei-Li Hsieh, Yi-Sheng Lan Fabrication and Characterization of Two-dimensional Photonic Crystal using Holographic Lithography Technology. Search on Bibsonomy JCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Lo Ming Fok, Yun-Hui Liu, Wen Jung Li Fabrication and Characterization of nanowires by Atomic Force Microscope Lithography. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Juan Montoya Toward nano-accuracy in scanning beam interference lithography. Search on Bibsonomy 2006   RDF
16Kanti Jain, Marc Klosner, Marc Zemel, Shyam Raghunandan Flexible Electronics and Displays: High-Resolution, Roll-to-Roll, Projection Lithography and Photoablation Processing Technologies for High-Throughput Production. Search on Bibsonomy Proc. IEEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Steven R. J. Brueck Optical and Interferometric Lithography - Nanotechnology Enablers. Search on Bibsonomy Proc. IEEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Walter J. Trybula, Robert L. Wright, Kranthi Mitra Adusumilli, Randy K. Goodall An analysis: traditional semiconductor lithography versus emerging technology (nano imprint). Search on Bibsonomy WSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Yick Chuen Chan, Yi-Kuen Lee, Man Wong, Yitshak Zohar High-throughput fabrication of sub-micron pillar arrays for free-solution DNA electrophoresis without E-beam lithography. Search on Bibsonomy ROBIO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Makoto Sugihara, Taiga Takata, Kenta Nakamura, Ryoichi Inanami, Hiroaki Hayashi, Katsumi Kishimoto, Tetsuya Hasebe, Yukihiro Kawano, Yusuke Matsunaga, Kazuaki J. Murakami, Katsuya Okumura Cell Library Development Methodology for Throughput Enhancement of Electron Beam Direct-Write Lithography Systems. Search on Bibsonomy SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Xuefeng Wang Microelectromechanical and Microfluidic Systems for Scanning Probe Lithography Search on Bibsonomy 2005   RDF
16Franck Robin, Andrea Orzati, Esteban Moreno Soriano, Otte Jakob Homan, Werner Bächtold Simulation and evolutionary optimization of electron-beam lithography with genetic and simplex-downhill algorithms. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Woei Wan Tan, Reginald F. Y. Li An in-situ temperature measurement system for DUV lithography. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Paul Isaac Hagouel Blazed diffraction gratings fabricated using X-ray lithography: fabrication, modeling and simulation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jong Ren Kong, Oliver Wilhelmi, Herbert O. Moser Gap Optimisation For Proximity X-Ray Lithography Using The Super-Resolution Process. Search on Bibsonomy Int. J. Comput. Eng. Sci. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16V. A. Kudryashov, X.-C. Yuan, T. L. Tan, P. Lee, S. F. A. Karim, B. L. Tan 3d Structures Formation In A Single Layer Su-8 Car Using Dual Uv And Electron-Beam Lithography. Search on Bibsonomy Int. J. Comput. Eng. Sci. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jeng T. Sheu, Cheng C. Chen, Sun P. Yeh, Hseih T. Chou Fabrication Of Ultrahigh-Density Nano-Pyramid Arrays (Npas) On (100) Silicon Wafer Using Scanning Probe Lithography And Anisotropic Wet Etching. Search on Bibsonomy Int. J. Comput. Eng. Sci. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Carl Gang Chen Beam alignment and image metrology for scanning beam interference lithography: fabricating gratings with nanometer phase accuracy. Search on Bibsonomy 2003   RDF
16Rajesh Menon Diffractive optics for maskless lithography and imaging. Search on Bibsonomy 2003   RDF
16Jeffrey Todd Hastings Nanometer-precision electron-beam lithography with applications in integrated optics. Search on Bibsonomy 2003   RDF
16Palash Das, Richard L. Sandstrom Advances in excimer laser technology for sub-0.25-μm lithography. Search on Bibsonomy Proc. IEEE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Kanti Jain, Marc Zemel, Marc Klosner Large-area high-resolution lithography and photoablation systems for microelectronics and optoelectronics fabrication. Search on Bibsonomy Proc. IEEE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Harry Shields, Steven W. Fornaca, Michael B. Petach, Rocco A. Orsini, Richard H. Moyer, Randall J. St Pierre Laser-produced plasma light source for extreme ultraviolet lithography. Search on Bibsonomy Proc. IEEE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Bruno Michel, André Bernard, Alexander Bietsch, Emmanuel Delamarche, Matthias Geissler, David Juncker, Hannes Kind, Jean-Philippe Renault, Hugo E. Rothuizen, Heinz Schmid, Patrick Schmidt-Winkel, Richard Stutz, Heiko Wolf Printing meets lithography: Soft approaches to high-resolution patterning. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Hiroshi Ito Dissolution behavior of chemically amplified resist polymers for 248-, 193-, and 157-nm lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Lars Liebmann, Scott M. Mansfield, Alfred K. Wong, Mark A. Lavin, William C. Leipold, Timothy G. Dunham TCAD development for lithography resolution enhancement. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16A. Keith Bates, Mordechai Rothschild, Theodore M. Bloomstein, Theodore H. Fedynyshyn, Roderick R. Kunz, Vladimir Liberman, Michael Switkes Review of technology for 157-nm lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Rajinder S. Dhaliwal, William A. Enichen, Steven D. Golladay, Michael S. Gordon, Rodney A. Kendall, Jon E. Lieberman, Hans C. Pfeiffer, David J. Pinckney, Christopher F. Robinson, James D. Rockrohr, Werner Stickel, Eileen V. Tressler PREVAIL-Electron projection technology approach for next-generation lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Lloyd R. Harriott Limits of lithography. Search on Bibsonomy Proc. IEEE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Pai-Hsueh Yang, Brian Alamo, Gerry B. Andeen Control design for a 6 DOF e-beam lithography stage. Search on Bibsonomy ACC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Tsuneo Terasawa Embedded tutorial: subwavelength lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Juan Ferrera Uranga Nanometer-scale placement in electron-beam lithography. Search on Bibsonomy 2000   RDF
16Aristides A. G. Requicha Massively Parallel Nanorobotics for Lithography and Data Storage. Search on Bibsonomy Int. J. Robotics Res. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Y. C. Pati Subwavelength optical lithography: challenges and impact on physical design. Search on Bibsonomy ISPD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 748 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license