The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for netlist with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1990 (26) 1991-1993 (19) 1994-1995 (21) 1996-1997 (35) 1998 (15) 1999 (25) 2000 (27) 2001 (17) 2002 (30) 2003 (46) 2004 (40) 2005 (30) 2006 (43) 2007 (34) 2008 (29) 2009 (22) 2010-2012 (17) 2013-2015 (16) 2016-2018 (19) 2019-2020 (22) 2021-2022 (27) 2023 (19) 2024 (4)
Publication types (Num. hits)
article(166) inproceedings(416) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 437 occurrences of 290 keywords

Results
Found 583 publication records. Showing 583 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Kia Bazargan, Abhishek Ranjan, Majid Sarrafzadeh Fast and accurate estimation of floorplans in logic/high-level synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Roberto Zafalon, Massimo Rossello, Enrico Macii, Massimo Poncino Power Macromodeling for a High Quality RT-Level Power Estimation. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Panagiotis Manolios Correctness of Pipelined Machines. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Yu-Liang Wu, Wangning Long, Hongbing Fan A Fast Graph-Based Alternative Wiring Scheme for Boolean Networks. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Alternative wiring, Graph-based pattern matching, Logic synthesis
10Abhijit Ghosh, Ranga Vemuri Formal Verification of Synthesized Mixed Signal Designs Using *BMDs. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Jordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Enric Pastor, Alexandre Yakovlev Decomposition and technology mapping of speed-independent circuits using Boolean relations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Vladimir V. Saposhnikov, V. Moshanin, Valerij V. Saposhnikov, Michael Gössel Experimental Results for Self-Dual Multi-Output Combinational Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Shenggao Li, Brian Okoon, Mona Mostafa Hella, Mohammed Ismail 0001, Maya Rubeiz The Implementation of a VHDL-AMS to SPICE Converter. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Gregory H. Chisholm, Steven T. Eckmann, Christopher M. Lain, Robert Veroff Understanding Integrated Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jason Baumgartner, Tamir Heyman, Vigyan Singhal, Adnan Aziz Model Checking the IBM Gigahertz Processor: An Abstraction Algorithm for High-Performance Netlists. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Yun-Yin Lian, Youn-Long Lin Layout-based Logic Decomposition for Timing Optimization. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Brad L. Hutchings, Peter Bellows, Joseph Hawkins, K. Scott Hemmert, Brent E. Nelson, Mike Rytting A CAD Suite for High-Performance FPGA Design. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF adaptive computing systems, high-performance FPGA design, FPGAs, configurable computing
10Russell Tessier Incremental Compilation for Logic Emulation. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF virtual wire, scheduling, partitioning, incremental, logic emulation
10Rolf Drechsler, Wolfgang Günther 0001 Generation of Optimal Universal Logic Modules. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Dimitrios Kagaris, Spyros Tragoudas Embedded cores using built-in mechanisms. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Rolf Drechsler, Marc Herbstritt, Bernd Becker 0001 Grouping heuristics for word-level decision diagrams. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Ramón González Carvajal, Antonio Jesús Torralba Silgado, Rafael L. Millán, Leopoldo García Franquelo Automatic synthesis of analog and mixed-signal fuzzy controllers with emphasis in power consumption. Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Debabrata Ghosh, Franc Brglez Equivalence classes of circuit mutants for experimental design. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Michael D. Hutton, Jonathan Rose Equivalence classes of clone circuits for physical-design benchmarking. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Wai-Kei Mak, D. F. Wong 0001 A fast hypergraph minimum cut algorithm. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Ronnie L. Wright, Michael A. Shanblatt Reducing BDD Size by Exploiting Structural Connectivity. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Pradip A. Thaker, Mona E. Zaghloul, Minesh B. Amin Study of Correlation of Testability Aspects of RTL Description and Resulting Structural Implementations. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Michael D. Hutton, Jonathan Rose, Jerry P. Grossman, Derek G. Corneil Characterization and parameterized generation of synthetic combinational benchmark circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Xiao Quan Li, Marwan A. Jabri Machine learning-based VLSI cells shape function estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Jordan S. Swartz, Vaughn Betz, Jonathan Rose A Fast Routability-Driven Router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Min Xu, Fadi J. Kurdahi Layout-Driven High Level Synthesis for FPGA Based Architectures. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Qi Wang, Sarma B. K. Vrudhula Data Driven Power Optimization of Sequential Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis low power design sequential circuits digital circuit testing verification
10Hans van Gageldonk, Kees van Berkel 0001, Ad M. G. Peeters, Daniel Baumann, Daniel Gloor, Gerhard Stegmann An Asynchronous Low-Power 80C51 Microcontroller. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLSI-programming, low-power, microcontrollers, asynchronous design
10Jianping Lu, Sofiène Tahar Practical Approaches to the Automatic Verification of an ATM Switch Fabric Using VIS. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Sela Mador-Haim, Limor Fix Input Elimination and Abstraction in Model Checking. Search on Bibsonomy FMCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Zinaida V. Apanovich, Alexander G. Marchuk Top-Down Approach to Technology Migration for Full-Custom Mask Layouts. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Technology migration, decomposition, compaction, rerouting
10Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST Scheme for RTL Controller-Data Paths Based on Symbolic Testability Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Ralph H. J. M. Otten, Robert K. Brayton Planning for Performance. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high-level synthesis, telecommunication
10Andrew B. Kahng, John C. Lach, William H. Mangione-Smith, Stefanus Mantik, Igor L. Markov, Miodrag Potkonjak, Paul Tucker, Huijuan Wang, Gregory Wolfe Watermarking Techniques for Intellectual Property Protection. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF system-on-chip test, testing embedded core, intellectual property test
10Jason Cong, Songjie Xu Delay-Optimal Technology Mapping for FPGAs with Heterogeneous LUTs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF technology mapping, programmable logic devices, PLA-style logic blocks
10Pi-Yu Chung, Ibrahim N. Hajj Diagnosis and correction of multiple logic design errors in digital circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Wolfgang Kunz, Dominik Stoffel, Prem R. Menon Logic optimization and equivalence checking by implication analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Lars W. Hagen, Andrew B. Kahng Combining problem reduction and adaptive multistart: a new technique for superior iterative partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Dilvan de Abreu Moreira, Les T. Walczowski AGENTS a distributed client-server system for leaf cell generation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF genetic algorithms, software agents, client/server model
10 Testing Embedded Cores. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10K. S. Seong, C. M. Kyung Two-way partitioning based on direction vector [layout design]. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Srinivasa Rao Arikati, Ravi Varadarajan A signature based approach to regularity extraction. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF regular structure extraction, Physical design
10Ibrahim M. Elfadel, David D. Ling A block rational Arnoldi algorithm for multipoint passive model-order reduction of multiport RLC networks. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Arnoldi iteration, multipoint Pade' approximation, passivity, model-order reduction
10Chua Hong Chuck, Chin Teck Chai, Tan Gim Chua A structured approach for routing of MCMs. Search on Bibsonomy KES (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Ismed Hartanto, Vamsi Boppana, Janak H. Patel, W. Kent Fuchs Diagnostic Test Pattern Generation for Sequential Circuits. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Jianzhong Shi, Akash Randhar, Dinesh Bhatia Macro Block Based FPGA Floorplanning. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF macro block based FPGA floorplanning, FPGA based designs, very large designs, performance driven designs, constraint-based FPGA floorplanning, flexible macro blocks, fixed macro blocks, input constraint set, topological placement, FPGA architectural constraints, large benchmark examples, VLSI floorplanning, heuristic algorithm, integrated circuit layout, ASIC design
10John F. Croix, D. F. Wong 0001 A Fast And Accurate Technique To Optimize Characterization Tables For Logic Synthesis. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Helena Krupnova, Ali Abbara, Gabriele Saucier A Hierarchy-Driven FPGA Partitioning Method. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Charles J. Alpert, Andrew B. Kahng A general framework for vertex orderings with applications to circuit clustering. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Mandyam-Komar Srinivas, James Jacob, Vishwani D. Agrawal Functional test generation for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Narain D. Arora, Kartik V. Raol, Reinhard Schumann, Llanda M. Richardson Modeling and extraction of interconnect capacitances for multilayer VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Jiing-Yuan Lin, Wen-Zen Shen, Jing-Yang Jou A power modeling and characterization method for the CMOS standard cell library. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF power characterization, power consumption, power estimation
10Hans T. Heineken, Wojciech Maly Interconnect yield model for manufacturability prediction in synthesis of standard cell based designs. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Standard Cell Designs, Synthesis, Interconnects, Manufacturability, Yield
10N. Mani A two-way graph partitioning using a heuristic procedure. Search on Bibsonomy ANZIIS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Jaswinder Pal Singh, Anshul Kumar, Shashi Kumar A multiplier generator for Xilinx FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiplier generator, Xilinx FPGAs, LUT based FPGA, sequential designs, combinational designs, pipelined designs, IDEAS synthesis system, XC3000 family, XC4000 family, dedicated carry logic, XACT tool, XBLOX tool, field programmable gate arrays, high level synthesis, sequential circuits, combinational circuits, digital arithmetic, logic CAD, pipeline processing, integrated circuit design, circuit CAD, table lookup, multiplying circuits, module generator, carry logic, multiplier designs
10C. P. Ravikumar, Mukul R. Prasad, Lavmeet S. Hora Estimation of Power from Module-level Netlists. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
10Kei-Yong Khoo, Jason Cong An efficient multilayer MCM router based on four-via routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Eric Lemoine, David Merceron Run time reconfiguration of FPGA for scanning genomic databases. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Roman Kuznar, Franc Brglez PROP: a recursive paradigm for area-efficient and performance oriented partitioning of large FPGA netlists. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimization, FPGA, partitioning, resynthesis, critical path delay
10Manfred Henftling, Hannes C. Wittmann, Kurt Antreich A single-path-oriented fault-effect propagation in digital circuits considering multiple-path sensitization. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test generation, ATPG, propagation
10Jin-Tai Yan Connection-oriented net model and fuzzy clustering techniques for K-way circuit partitioning. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fuzzy neural nets, connection-oriented net model, fuzzy clustering techniques, K-way circuit partitioning, chain net model, cut analysis, multiple-pin net, edge-weighted graph, MCNC circuit benchmarks, partitioning balance, partitioning cut, computational complexity, complexity, fuzzy logic, high level synthesis, circuit analysis computing, hypergraph, fuzzy c-means clustering, mapped graph, fuzzy memberships
10Chien-In Henry Chen, Joel T. Yuen Automated synthesis of pseudo-exhaustive test generator in VLSI BIST design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Valentino Liberali, Victor da Fonte Dias, M. Ciapponi, Franco Maloberti TOSCA: a simulator for switched-capacitor noise-shaping A/D converters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
10Stephen T. Frezza, Steven P. Levitan SPAR: a schematic place and route system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
10Stella N. Batalama, Dimitrios A. Pados, Theodore S. Papatheodorou A heuristic single-row router minimizing interstreet crossings. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
10Prathima Agrawal, Vishwani D. Agrawal, Sharad C. Seth Generating Tests for Delay Faults in Nonscan Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
10John D. Calhoun, Franc Brglez A framework and method for hierarchical test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
10Larry G. Jones An incremental zero/integer delay switch-level simulation environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
10Raffaele Costa, Francesco Curatelli, Daniele D. Caviglia, Giacomo M. Bisio Symbolic generation of constrained random logic cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
10Raul Camposano From Behavior to Structure: High-Level Synthesis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Wolfgang Meier Hierarchical layout verification for submicron designs. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Michael Zimmermann, Manfred Geilert Generation of embedded RAMs with built-in test using object-oriented programming. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Roni Potasman, Joseph Lis, Alexandru Nicolau, Daniel Gajski Percolation Based Synthesis. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Dwight D. Hill, Don Shugard Global Routing Considerations in a Cell Synthesis System. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Erik C. Carlson, Rob A. Rutenbar Design and Performance Evaluation of New Massively Parallel VLSI Mask Verification Algorithms in JIGSAW. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Peter Ramyalal Suaris, Gershon Kedem A quadrisection-based combined place and route scheme for standard cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
10Charles J. Poirier Excellerator: custom CMOS leaf cell layout generator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
10Wolfgang Borutzky Combining Behavioral Block Diagram Modelling with Circuit Simulation. Search on Bibsonomy EUROCAST The full citation details ... 1989 DBLP  DOI  BibTeX  RDF mixed behavioral, circuit-level modelling, electrical macromodels, continuous system simulation, electronic control systems, circuit simulation, functional simulation, block diagrams, signal processing systems
10Richard H. Lathrop, Robert J. Hall 0001, Gavan Duffy, K. Mark Alexander, Robert S. Kirk Advances in Functional Abstraction from Structure. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
10Robin L. Steele An Expert System Application in Semicustom VLSI Design. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
10Richard H. Lathrop, Robert J. Hall 0001, Robert S. Kirk Functional Abstraction from Structure in VLSI Simulation Models. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
10Ahsan Bootehsaz, Robert A. Cottrell A technology independent approach to hierarchical IC layout extraction. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
10Stef van Vlierberghe, Jeff Rijmenants, Walter Heyns Symbolic hierarchical artwork generation system. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #583 of 583 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license